The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1963 (19) 1964-1966 (16) 1967-1968 (38) 1969 (17) 1970 (17) 1971 (18) 1972 (20) 1973 (28) 1974 (54) 1975 (27) 1976 (90) 1977 (64) 1978 (70) 1979 (48) 1980 (74) 1981 (77) 1982 (126) 1983 (110) 1984 (108) 1985 (137) 1986 (192) 1987 (214) 1988 (274) 1989 (290) 1990 (372) 1991 (311) 1992 (325) 1993 (348) 1994 (382) 1995 (541) 1996 (509) 1997 (560) 1998 (454) 1999 (672) 2000 (756) 2001 (718) 2002 (952) 2003 (1177) 2004 (1365) 2005 (1596) 2006 (1707) 2007 (1708) 2008 (1614) 2009 (1181) 2010 (660) 2011 (554) 2012 (494) 2013 (501) 2014 (427) 2015 (456) 2016 (470) 2017 (416) 2018 (418) 2019 (460) 2020 (399) 2021 (428) 2022 (386) 2023 (419) 2024 (74)
Publication types (Num. hits)
article(7025) book(16) data(1) incollection(53) inproceedings(18549) phdthesis(278) proceedings(16)
Venues (Conferences, Journals, ...)
IPDPS(464) IEEE Trans. Computers(447) DATE(392) CoRR(368) ISCAS(348) ISCA(344) DAC(331) IEEE Trans. Parallel Distribut...(324) ICASSP(295) IEEE J. Solid State Circuits(284) MICRO(270) ICCD(252) FPL(249) IEEE Trans. Very Large Scale I...(248) IEEE Micro(233) ASAP(228) More (+10 of total 2714)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 20075 occurrences of 5412 keywords

Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Chung-Yen Chang, Prasant Mohapatra An Integrated Processor Management Scheme for the Mesh-Connected Multicomputer Systems. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Bypass-Queue, Fixed-Orientation Allocation, Integrated Processor Management Scheme, Job Scheduling, Mesh-Connected Multicomputer
28Kumar N. Ganapathy, Benjamin W. Wah Optimal Synthesis of Algorithm-Specific Lower-Dimensional Processor Arrays. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF polynomial-time search, transitive closure, processor arrays, optimal design, objective function, Design constraints, uniform recurrence equations
28An-Yeu Wu, K. J. Ray Liu, Arun Raghupathy, Shang-Chieh Liu Parallel programmable video co-processor design. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF quadrature mirror filters, parallel programmable video co-processor design, computationally intensive data processing, very high data rate, subband filtering, discrete orthogonal transforms, computational speed, multirate FIR/IIR/DT operations, low-power implementation, QMF, parallel architectures, transforms, high-performance, adaptive filters, adaptive filtering, FIR filters, FIR filtering, video signal processing, digital signal processing chips, low-cost, IIR filters, IIR filtering, hardware overhead, video applications, processing speed
28Mark S. Squillante, Edward D. Lazowska Using Processor-Cache Affinity Information in Shared-Memory Multiprocessor Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF processor-cache affinity information, shared-memorymultiprocessor scheduling, quantum expiration, meanvalue analysis, analytic cache model, queueingtheory, scheduling, performance evaluation, synchronization, shared memory systems, buffer storage, I/O, preemption, queueing network models
28Henk Corporaal, J. G. E. Olk A Scalable Communication Processor Design supporting Systolic Communication. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF high performance communication processor, fine-grain communication, scalability of design, message compression, routing, virtual connections
28Scott Davidson 0001 How to make your own processor architecture. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-on-chip computing, FPGAs, ASICs, processor architecture, processor design
28Shorin Kyo, Shin'ichiro Okazaki, Tamio Arai An Integrated Memory Array Processor for Embedded Image Recognition Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Parallel SIMD processor, memory array processor, image processing, image recognition, parallel language
28Tilman Wolf, Mark A. Franklin Performance Models for Network Processor Design. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Network processor design, network processor benchmark, performance model, power optimization, design optimization
28Matthew Clegg, Keith Marzullo A low-cost processor group membership protocol for a hard real-time distributed system. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF processor group membership protocol, hard real-time distributed system, failure detection latency, processor time, bounded tax, broadcast message traffic, protocols, schedulability analysis, message complexity, shared resources, network bandwidth
28István Vassányi, István Erényi Implementation of Processor Cells for Array Algorithms on FPGAs. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF array algorithms, processor cells, fine-grain array architectures, cellular image processing algorithms, placement-routing tool, field programmable gate arrays, FPGA, processor arrays
28Wenjian Qiao, Lionel M. Ni Efficient processor allocation for 3D tori. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF 3D tori, 3D submesh, maximal free submeshes, scheduling overhead, performance, resource allocation, reconfigurable architectures, processor scheduling, processor allocation, space sharing, large scale parallel computers, Cray T3D
28Kotaro Shimamura, Shigeya Tanaka, Tetsuya Shimomura, Takashi Hotta, Eiki Kamada, Hideo Sawamoto, Teruhisa Shimizu, Kisaburo Nakazawa A superscalar RISC processor with pseudo vector processing feature. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF superscalar RISC processor, pseudo vector processing, architectural extension, floating-point registers, scoreboard-based dependency check, pipeline stage optimization, 267 MFLOPS, 1.2 Gbyte/s, performance evaluation, performance, computer architecture, memory access, reduced instruction set computing, vector processor systems
28Ram K. Krishnamurthy, Ramalingam Sridhar A CMOS wave-pipelined image processor for real-time morphology . Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS wave-pipelined image processor, real-time morphology, high-speed morphological image processor, wave-pipelined transmission gate logic, two-stage morphological skeleton transform filter, regular pipelined architectures, real-time systems, computational complexity, image processing, latency, pipeline processing, CMOS integrated circuits, hardware complexity
28William F. Richardson, Erik Brunvand Precise exception handling for a self-timed processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF precise exception handling, self-timed processor, multiple concurrent processes, self-timed queues, decoupled computer architectures, micropipelined processor, Fred, pipelined computer architecture, out-of-order instruction completion, parallel architectures, exception handling, instruction level parallelism, self-adjusting systems, self-timed systems
28Stephen C. Glinski, David B. Roe Spoken Language Recognition on a DSP Array Processor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF speechrecognition, spoken language recognition, DSP array processor, real-time large-vocabulary speaker-independent continuous speech recognizers, multiplehigh-performance central processing units, high interprocessor communication bandwidth, feature extractor, mixture probability computer, state probability computer, word probability computer, phrase probability computer, traceback computer, multistage stack decoder, parallel architectures, partitioning, message passing, array processor, array signal processing, linear predictive coding, linear predictive coding
28Peter R. Cappello A Processor-Time-Minimal Systolic Array for Cubical Mesh Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF hexagon shaped, cylinder connected, processor-time-minimal systolic array, cubical meshalgorithms, time-minimal multiprocessor schedules, processor-time-minimal scheduling, triangular shaped 2-D directed mesh, 2-D directed mesh, directedgraphs, parallel algorithms, computational complexity, topology, systolic arrays, directed acyclic graph, processing elements, matrix product
27L. S. K. Udugama, Janath C. Geeganage Students' experimental processor: a processor integrated with different types of architectures for educational purposes. Search on Bibsonomy WCAE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Joonhyuk Yoo, Manoj Franklin The Filter Checker: An Active Verification Management Approach. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Ivan Stojmenovic Detecting intersection of two convex polygons in parallel. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose VESPA: portable, scalable, and flexible FPGA-based vector processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPREE, VESPA, VIRAM, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
26Jayaram Mudigonda, Harrick M. Vin, Stephen W. Keckler Reconciling performance and programmability in networking systems. Search on Bibsonomy SIGCOMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memoty bottleneck, multithreading, reconfigurable architectures, routers, data cache, processor architectures, packet processing
26Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Fine-grain performance scaling of soft vector processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VESPA, soft vector processor, viram, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
26Jason Yu, Guy G. Lemieux, Christopher Eagleston Vector processing as a soft-core CPU accelerator. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF C2H, FPGA, configurable, embedded processor, application specific, soft processor, data-level parallelism
26Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin Adaptive set pinning: managing shared caches in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inter-processor, intra-processor, set pinning, CMP, shared cache
26Peter Yiannacouras, Jonathan Rose, J. Gregory Steffan The microarchitecture of FPGA-based soft processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, application specic tradeoff, FPGA, pipeline, exploration, embedded processor, ASIP, microarchitecture, soft processor
26Azzedine Boukerche, Carl Tropper Hierarchical schedulings of time-next-event heuristic on distributed memory machines. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF semi-global time-next-event heuristic, logical process unblocking, interprocessor deadlocks, processor cluster, queuing network simulation, one-level scheduling, parallel algorithms, concurrency control, distributed memory systems, processor scheduling, torus, heuristic programming, run time, distributed memory machines, hierarchical scheduling, shortest path algorithm, lookahead, two-level scheduling, simulation parallelism
26Swapan Kumar Ray Large-Capacity High-Throughput Low-Cost Pipelined CAM Using Pipelined CTAM. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Content Addressable Memory (CAM), associative store, Associative Memory (AM), pipelined CAM, Content-To-Address Memory (CTAM), pipelined CTAM, Binary Search Processor (BSP), Pipelined Binary Search Processor (PBSP), pipelined binary search, Binary Search Pipeline (BSPL), pipelined search processor, pipelined search engine
26Xavier Martorell, Jesús Labarta, Nacho Navarro, Eduard Ayguadé Analysis of Several Scheduling Algorithms under the Nano-Thread Programming Model. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scheduling algorithm analysis, nano-threads programming model, dynamic processor allocation environment, uniform-sized chunking, guided self-scheduling, trapezoid self-scheduling, adaptable size chunking, automatic application decomposition, hierarchical task graph, source application, executable graph representation, user-level library, nano-threads library, user-level process, CPU manager, dynamic processor changes, processor scheduling, parallelizing compiler, parallel code
25Isidro Gonzalez, Marco Galluzzi, Alexander V. Veidenbaum, Marco Antonio Ramírez, Adrián Cristal, Mateo Valero A distributed processor state management architecture for large-window processors. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Qiang Zhu 0008, Aviral Shrivastava, Nikil D. Dutt Interactive presentation: Functional and timing validation of partially bypassed processor pipelines. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Dandan Huan, Zusong Li, Weiwu Hu, Zhiyong Liu Processor Directed Dynamic Page Policy. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Godson-2, Memory Control Policy, Dynamic Page Policy, Open Page, Close Page
25Roman L. Lysecky, Frank Vahid A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Masaharu Imai, Akira Kitajima Verification Challenges in Configurable Processor Design with ASIP Meister. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25H. Peter Hofstee, Michael N. Day Hardware and software architectures for the CELL processor. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Andreas Hoffmann 0002, Frank Fiedler, Achim Nohl, Surender Parupalli A Methodology and Tooling Enabling Application Specific Processor Design. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SIMD, VLIW, ASIP
25Atsushi Mizuno, Kazuyoshi Kohno, Ryuichiro Ohyama, Takahiro Tokuyoshi, Hironori Uetani, Hans Eichel, Takashi Miyamori, Nobu Matsumoto, Masataka Matsui Design Methodology and System for a Configurable Media Embedded Processor Extensible to VLIW Architecture. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Mostafa I. Soliman, Stanislav Sedukhin Performance Analysis of SVD Algorithm on the Trident Processor. Search on Bibsonomy CW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Patrick Crowley, Marc E. Fiuczynski, Jean-Loup Baer, Brian N. Bershad Characterizing processor architectures for programmable network interfaces. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Rajat Moona Processor Models for Retargetable Tools. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Cathy McCann, John Zahorjan Processor Allocation Policies for Message-Passing Parallel Computers. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Songnian Zhou, Tim Brecht Processor-Pool-Based Scheduling for Large-Scale NUMA Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25Dongyoon Lee, Mahmoud Said, Satish Narayanasamy, Zijiang Yang 0006, Cristiano Pereira Offline symbolic analysis for multi-processor execution replay. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-processor replay, shared-memory dependencies, SMT solver
25George C. Caragea, A. Beliz Saybasili, Xingzhi Wen, Uzi Vishkin Brief announcement: performance potential of an easy-to-program PRAM-on-chip prototype versus state-of-the-art processor. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ease of programming, explicit multi-treading, on-chip parallel processor, paraleap, parallel algorithms, PRAM, xmt
25Sandro Bartolini, Irina Branovic, Roberto Giorgi, Enrico Martinelli Effects of Instruction-Set Extensions on an Embedded Processor: A Case Study on Elliptic Curve Cryptography over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor/microcomputer applications, Performance Evaluation, Cryptography, Elliptic curves, Public key cryptosystems, Processor Architectures, Pipeline processors, Portable devices, Hardware/software interfaces, Instruction set design
25Wolfgang Puffitsch Decoupled root scanning in multi-processor systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time, garbage collection, multi-processor
25Xingzhi Wen, Uzi Vishkin Fpga-based prototype of a pram-on-chip processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ease-of-programming, explicit multi-threading, on-chip parallel processor, pram, parallel algorithms, xmt
25Jeffrey M. Arnold The Architecture and Development Flow of the S5 Software Configurable Processor. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software configurable processor, reconfigurable architectures, embedded computing, instruction set extension
25Shinya Toji, Minoru Uehara, Hideki Mori Design of the Tile-Based Embedded Multimedia Processor -TEMP-. Search on Bibsonomy NBiS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Tile-Based Processor, Embedded System
25Jorgen Peddersen, Sri Parameswaran CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic power optimization, CLIPPER, counter-based low impact processor, run-time power optimization, power consumption, energy consumption
25Satoshi Amamiya, Masaaki Izumi, Takanori Matsuzaki, Ryuzo Hasegawa, Makoto Amamiya Fuce: the continuation-based multithreading processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF continuation-based multithread programming, multithreading, thread-level parallelism, chip multi-processor
25Ricardo E. Gonzalez A Software-Configurable Processor Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF software-configurable processor
25Ben A. Abderazek, Tsutomu Yoshinaga, Masahiro Sowa High-Level Modeling and FPGA Prototyping of Produced Order Parallel Queue Processor Core. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF queue processor, design, prototyping, high performance, high-level modeling
25Jih-Woei Huang, Chih-Ping Chu An Efficient Communication Scheduling Method for the Processor Mapping Technique Applied Data Redistribution. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPI, parallel compiler, communication scheduling, data redistribution, data-parallel programming, processor mapping
25Fayez Gebali, A. N. M. Ehtesham Rafiq Processor Array Architectures for Deep Packet Classification. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF deep packet classification, parallel hardware, Processor array, string search
25Panagiotis D. Michailidis, Konstantinos G. Margaritis New Processor Array Architectures for the Longest Common Subsequence Problem. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF longest common subsequence problem, parallel algorithms, VLSI, parallel architectures, linear processor arrays
25Radu Muresan, Catherine H. Gebotys Instantaneous current modeling in a complex VLIW processor core. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Instruction-level current model, current and power measurement in a processor, instantaneous current model, power and energy model
25Jarek Nieplocha, Manojkumar Krishnan, Bruce J. Palmer, Vinod Tipparaju, Yeliang Zhang Exploiting processor groups to extend scalability of the GA shared memory programming model. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF extreme scalability, multi-level parallelism, processor groups, global arrays
25Prabhat Mishra 0001, Mahesh Mamidipaka, Nikil D. Dutt Processor-memory coexploration using an architecture description language. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Processor-memory codesign, memory exploration, design space exploration, architecture description language
25Ching-Hsien Hsu, Kun-Ming Yu Processor Mapping Technique For Communication Free Data Redistribution on Symmetrical Matrix. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication free, runtime support, data redistribution, symmetrical matrix, processor mapping
25Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL
25Heejo Lee, Jong Kim 0001, Sung Je Hong, Sunggu Lee Processor Allocation and Task Scheduling of Matrix Chain Products on Parallel Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Matrix chain product, matrix chain scheduling problem, task scheduling, processor allocation, parallel matrix multiplication
25Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Instruction-Based Self-Testing of Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF processor cores, built-in self-test, instruction set, at-speed testing, software-based self test
25Martin Delvai, Wolfgang Huber, Peter P. Puschner, Andreas Steininger Processor Support for Temporal Predictability - The SPEAR Design Example. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF real-time processor, response jitter, one path programming, response time, worst case execution time
25Jinhwan Kim, Sungjoon Jung, Yunheung Paek, Gang-Ryung Uh Experience with a retargetable compiler for a commercial network processor. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF non-orthogonal architecture, compiler, network processor
25Thomas Rauber, Gudula Rünger Library support for hierarchical multi-processor tasks. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hierarchical decomposition of processor sets, library support, mixed task and data parallelism, multilevel group SPMD, distributed memory, multiprocessor tasks
25M. Anwarul Hasan, Amr G. Wassal VLSI Algorithms, Architectures, and Implementation of a Versatile GF(2m) Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Galois (or finite) field processor, canonical (or polynomial) basis, triangular basis, cryptography, VLSI implementation, datapath
25Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA
25Santanu Dutta, Deepak Singh, Essam Abu-Ghoush, Vijay Mehra Architecture and Implementation of a High-Definition Video Co-Processor for Digital Television Applications. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF ATSC standard, picture processing, VLSI design, video processing, Digital television, HDTV, media processor
25Andrew A. Chien, Jay H. Byun Safe and Protected Execution for the Morph/AMRM Reconfigurable Processor. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiprocess Protection, Process isolation, Machine Virtualization, Adaptive Computing, Reconfigurable Processor
25Abhijit Jas, Nur A. Touba Using an Embedded Processor for Efficient Deterministic Testing of Systems-on-a-Chip. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Test Vector Compression, External Testing, Built-In Self-Test, Embedded Processor, System-on-a-Chip, Automatic Test Equipment, At-Speed Testing, Scan Chains, Deterministic Testing
25You-Sung Chang, Bong-Il Park, In-Cheol Park, Chong-Min Kyung Customization of a CISC Processor Core for Low-Power Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF CISC-processor, Complex-instruction, ROM-compile, Low-power-design, Microcode
25Edgar T. Kalns, Lionel M. Ni Processor Mapping Techniques Toward Efficient Data Redistribution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF High Performance Fortran, data redistribution, Distributed-memory architectures, data-parallel programming, data decomposition, processor mapping
25Goutam Debnath, Kathy Debnath, Roshan Fernando The Pentium processor-90/100, microarchitecture and low power circuit design. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIMOS integrated circuits, Pentium processor-90/100, low power circuit design, BiNMOS process, power consumption reduction, symmetric dual processing feature, multithreaded operating systems, 0.6 micron, 3.3 V, computer architecture, microarchitecture, integrated circuit design, microprocessor chips, 100 MHz
25J. L. van den Berg, Onno J. Boxma TheM/G/1 queue with processor sharing and its relation to a feedback queue. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF M/M/1 feedback queue, M/G/1 processor sharing queue, sojourn times
25Maurizio Paganini Nomadik®: A Mobile Multimedia Application Processor Platform. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mobile multimedia application processor platform, Nomadik platform, industry standard host processor, low-power DSP, hardware accelerators
25Dac C. Pham, Hans-Werner Anderson, Erwin Behnen, Mark Bolliger, Sanjay Gupta, H. Peter Hofstee, Paul E. Harvey, Charles R. Johns, James A. Kahle, Atsushi Kameyama, John M. Keaty, Bob Le, Sang Lee, Tuyen V. Nguyen, John G. Petrovick, Mydung Pham, Juergen Pille, Stephen D. Posluszny, Mack W. Riley, Joseph Verock, James D. Warnock, Steve Weitzel, Dieter F. Wendel Key features of the design methodology enabling a multi-core SoC implementation of a first-generation CELL processor. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 64-bit Power Architecture, design dependency solution, digital thermal sensor, flexible IO, hardware content protection, high-performance latch, linear sensor, local clock buffer, multi-operating system, synergistic processor, real-time system, modularity, power management, Linux, multi-core, multi-threading, SOC, thermal management, design environment, CELL Processor, clock distribution, virtualization technology, SOI, correct-by-construction, re-use, design hierarchy
25Wolfgang Raab, Hans-Martin Blüthgen, Ulrich Ramacher A low-power memory hierarchy for a fully programmable baseband processor. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF baseband processor, multi-tasked processor, task interleaving, memory hierarchy, low-power memory
25Sebastien Vagnier, Hassane Essafi, Alain Mérigot A Configurable Processor Network for Document Management. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable processor network, European STRETCH project, imaged document, component extraction, configurable network, information retrieval, document management, configurable processor, content-based information retrieval
25Andreas Svolos, Charalampos Konstantopoulos, Christos Kaklamanis fficient Binary Morphological Algorithms on a Massively Parallel Processor. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hypercube, mathematical morphology, dilation, massively parallel processor, erosion, associative processor
25Ireneusz Karkowski, Henk Corporaal Design of Heterogenous Multi-Processor Embedded Systems: Applying Functional Pipelining. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF heterogenous multiprocessor embedded system design, functional pipelining, embedded program mapping, ANSI C program, application specific processor pipeline, frequency tracking system, two-processor system, highly optimized single core solution, architecture, multiprocessing systems, instruction level parallelism, speedup, efficient algorithm, loops
25Hidehiko Tanaka Toward more advanced usage of instruction level parallelism by a very large data path processor architecture. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF very large data path processor, instruction analysis, parallel gain, parallel architectures, microprocessor, instruction level parallelism, processor architecture, performance gain
25D. K. Arvind 0001, Robert D. Mullins, Vinod E. F. Rebello Micronets: a model for decentralising control in asynchronous processor architectures. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF decentralising control, asynchronous processor architectures, micronets, communicating resources, four-phase protocol, hazard avoidance mechanisms, SPICE-level simulations, computer architecture, computer architecture, pipeline processing, processor architectures, fine-grain concurrency
25Youngmin Hur, Stephen A. Szygenda Special purpose array processor for digital logic simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF special purpose array processor, digital logic simulation, large VLSI circuits, compute-intensive tasks, digital analysis, time driven array processor, massively parallel processing element, compiled event-driven technology, nominal transport delay timing analysis, delay time order, levelized circuit, massively parallel PE array, MARS accelerator, VLSI, parallel architectures, delays, timing, fault simulation, logic CAD, digital simulation, circuit analysis computing, special purpose computers, SIMD architecture, hardware cost
25Shigeaki Iwasa, Shung Ho Shing, Hisashi Mogi, Hiroshi Nozuwe, Hiroo Hayashi, Osamu Wakamori, Takashi Ohmizo, Kuninori Tanaka, Hiroshi Sakai, Mitsuo Saito SSM-MP: more scalability in shared-memory multi-processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SSM-MP, shared-memory multi-processor, cache refill latency, bus bottle neck problem, MTag, scalability, shared memory systems, cache coherency, memory architecture, multi-processor system
25Rong Lin, Stephan Olariu A simple array processor for binary prefix sums. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF binary prefix sums, storage compaction, routing, computational complexity, parallel processing, VLSI, network routing, circuit CAD, array processor, binary sequence, special-purpose architecture, processor assignment, operating system design
25Tsutomu Nishimura, Takuji Miki, Hiroaki Sugiura 0003, Yuki Matsumoto, Masatsugu Kobayashi, Toshiyuki Kato, Tsutomu Eda, Hironori Yamauchi Configurable multi-processor architecture and its processor element design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Andreas Wieferink, Tim Kogel, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Gunnar Braun, Achim Nohl A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platform. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Oleg Maslennikov Systematic Generation of Executing Programs for Processor Elements in Parallel ASIC or FPGA-Based Systems and Their Transformation into VHDL-Descriptions of Processor Element Control Units. Search on Bibsonomy PPAM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Dirk Fimmel, Renate Merker Determination of the Processor Functionality in the Design of Processor Arrays. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Jude Angelo Ambrose, Sri Parameswaran, Aleksandar Ignjatovic MUTE-AES: a multiprocessor architecture to prevent power analysis based side channel attack of the AES algorithm. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Huiyang Zhou Dual-Core Execution: Building a Highly Scalable Single-Thread Instruction Window. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Kenneth M. Wilson, Kunle Olukotun High Bandwidth On-Chip Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Dynamic superscalar, banked cache, dual-ported cache, SPEC95, memory bandwidth
23Arvind Easwaran, Insik Shin, Insup Lee 0001 Optimal virtual cluster-based multiprocessor scheduling. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Virtual processor clustering, Compositional schedulability analysis, Multiprocessor scheduling, Hierarchical scheduling
23John Wei, Chris Rowen Implementing low-power configurable processors: practical options and tradeoffs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PVT (process, voltage, temperature), configurable embedded processor, dynamic power efficiency, scaled VDD, low-power, leakage power, SOC (system on chip), dynamic power
23Jason E. Fritts, Roger D. Chamberlain Breaking the Memory Bottleneck with an Optical Data Path. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bandwidth bottleneck, processor-memory gap, performance evaluation, media processing, optical bus
23Rudesindo Núñez-Queija Queues with Equally Heavy Sojourn Time and Service Requirement Distributions. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF foreground-background processor sharing, queues with heavy tails, (intermediate) regular variation, telecommunications, processor sharing, shortest remaining processing time
23Ken'ichirou Kimura, Hirofumi Amano, Akifumi Makinouchi Dynamic Performance Optimization Mechanism for Parallel Object-Oriented Database Programming Languages. Search on Bibsonomy IDEAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic performance optimization, parallel object-oriented database programming languages, distributed-memory parallel processor, remote object referencing, biased distribution, object relocation, simulation tests, topology, parallel languages, performance degradation, inter-processor communication, load imbalance, object allocation
23Jochen Kreuzinger, A. Schulz, Matthias Pfeffer, Theo Ungerer, Uwe Brinkschulte, C. Krakowski Real-time scheduling on multithreaded processors. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF core processor, real-time events, interrupt service threads, interrupt service routines, zero-cycle context switching, fixed priority preemptive, least laxity first, embedded systems, real-time scheduling, processor scheduling, system-on-a-chip, multi-threading, earliest deadline first, multithreaded processors
23Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen Tuning Compiler Optimizations for Simultaneous Multithreading. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cyclic algorithm, fine-grained sharing, inter-thread instruction-level parallelism, loop-iteration scheduling, memory system resources, software speculative execution, performance, parallel programs, parallel architecture, compiler optimizations, shared-memory multiprocessors, processor architecture, instructions, simultaneous multithreading, latency hiding, loop tiling, optimising compilers, inter-processor communication, cache size
23Sungcheol Hong Optimal server allocation for real time computing systems with bursty priority. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bursty priority jobs, server allocation, bursty job arrival, high priority queue, processor pool, low priority queue, scheduling, real-time systems, response time, processor scheduling, file servers, real time computing systems, average waiting time
Displaying result #101 - #200 of 25938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license