The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Qin Zhao, Bart Mesman, Henk Corporaal Limited Address Range Architecture for Reducing Code Size in Embedded Processors. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Mark Stephenson, Una-May O'Reilly, Martin C. Martin, Saman P. Amarasinghe Genetic Programming Applied to Compiler Heuristic Optimization. Search on Bibsonomy EuroGP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Yi Qian, Steve Carr 0001, Philip H. Sweany Optimizing Loop Performance for Clustered VLIW Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Ho-Seop Kim, James E. Smith 0001 An Instruction Set and Microarchitecture for Instruction Level Distributed Processing. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Roman L. Lysecky, Frank Vahid, Tony Givargis Techniques for Reducing Read Latency of Core Bus Wrappers. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bus wrapper, interfacing, system-on-a-chip, intellectual property, Cores, design reuse, on-chip bus
20Santanu Dutta, Wayne H. Wolf A circuit-driven design methodology for video signal-processing datapath elements. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Kaisheng Wang, Ted Zhihong Yu, Edwin Hsing-Mean Sha RCRS: A Framework for Loop Scheduling with Limited Number of Registers. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF retiming, rotation, data-flow graphs, Loop scheduling, registers
20Dilip K. Bhavsar Testing Interconnections to Static RAMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
20Vladimir V. Chepyzhov, Ben J. M. Smeets On A Fast Correlation Attack on Certain Stream Ciphers. Search on Bibsonomy EUROCRYPT The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
20Jørgen Brandt, Ivan Damgård, Peter Landrock Anonymous and Verifiable Registration in Databases. Search on Bibsonomy EUROCRYPT The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
20Donald W. Davies, Graeme I. P. Parkin The average Cycle size of the Key-Stream in Output Feedback Encipherment. Search on Bibsonomy EUROCRYPT The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
20Vincent J. DiGri, Jane E. King The Share 709 System: Input-Output Translation. Search on Bibsonomy J. ACM The full citation details ... 1959 DBLP  DOI  BibTeX  RDF
16Brahim Al Farisi, Karel Bruneel, Harald Devos, Dirk Stroobandt Automatic tool flow for shift-register-LUT reconfiguration: making run-time reconfiguration fast and easy (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parameterizable configurations, tmap, fpga, reconfigurable computing, run-time reconfiguration, srl, icap
16Pey-Chang Kent Lin, Sunil P. Khatri VLSI implementation of a non-linear feedback shift register for high-speed cryptography applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF NLFSR, stream cipher, pseudo-random sequence
16Baris Taskin, Andy Chiu, Jonathan Salkind, Daniel Venutolo A shift-register-based QCA memory architecture. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clocking, Quantum-dot cellular automata, memory design
16Shih Yu Chang, Hsiao-Chun Wu, Ai-Chun Pang Theoretical exploration of pattern attributes for maximum-length shift-register sequences. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF berlekamp's algorithm, pattern attribute, finite fields, traveling salesman problem, m-sequences
16Jongeun Lee, Aviral Shrivastava Compiler-managed register file protection for energy-efficient soft error reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Raju Halder, Parthasarathi Dasgupta, Saptarshi Naskar, Samar Sen-Sarma An internet-based IP protection scheme for circuit designs using linear feedback shift register (LFSR)-based locking. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intellectual property protection (IPP), watermarking, encryption, decryption
16Khushboo Kanjani, Hyunyoung Lee, Jennifer L. Welch Byzantine fault-tolerant implementation of a multi-writer regular register. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Jyh-Shian Wang, I-Wei Wu, Yu-Sheng Chen, Jean Jyh-Jiun Shann, Wei-Chung Hsu Reducing Code Size by Graph Coloring Register Allocation and Assignment Algorithm for Mixed-Width ISA Processor. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Wenting Hou, Dick Liu, Pei-Hsin Ho Automatic register banking for low-power clock trees. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Héctor Navarro, Saeid Nooshabadi, Juan A. Montiel-Nelson, Victor Navarro-Botello, Javier Sosa, José C. García 0001 A geometric approach to register transfer level satisfiability. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Xuejun Yang, Li Wang 0027, Jingling Xue, Yu Deng 0001, Ying Zhang 0032 Comparability graph coloring for optimizing utilization of stream register files in stream processors. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF comparability graph coloring, stream programming, stream processor, software-managed cache
16Lei Wang 0003, Niral Patel Improving Error Tolerance for Multithreaded Register Files. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hideo Fujiwara, Hiroyuki Iwata, Tomokazu Yoneda, Chia Yee Ooi A Nonscan Design-for-Testability Method for Register-Transfer-Level Circuits to Guarantee Linear-Depth Time Expansion Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Felipe Machado, Teresa Riesgo, Yago Torroja Disjoint Region Partitioning for Probabilistic Switching Activity Estimation at Register Transfer Level. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity estimation, CAD, VHDL, BDD, power estimation, RTL, Switching activity, circuit partition, digital circuit design
16David B. Whalley, Gary S. Tyson Enhancing the effectiveness of utilizing an instruction register file. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Andrea Masini, Luca Viganò 0001, Margherita Zorzi A Qualitative Modal Representation of Quantum Register Transformations. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF quantum registers, modal logic, quantum logic
16Sriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Anish Muttreja, Srivaths Ravi 0001, Niraj K. Jha Variability-Tolerant Register-Transfer Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Shih-Chang Hsia, Szu-Hong Wang Shift-Register-Based Data Transposition for Cost-Effective Discrete Cosine Transform. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Loganathan Lingappan, Niraj K. Jha Efficient Design for Testability Solution Based on Unsatisfiability for Register-Transfer Level Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Mona Safar, Mohamed Shalan, M. Watheq El-Kharashi, Ashraf Salem Interactive presentation: A shift register based clause evaluator for reconfigurable SAT solver. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Florent Bouchez, Alain Darte, Fabrice Rastello On the Complexity of Register Coalescing. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Richard Stern, Nikhil Joshi, Kaijie Wu 0001, Ramesh Karri Register Transfer Level Concurrent Error Detection in Elliptic Curve Crypto Implementations. Search on Bibsonomy FDTC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Aaron P. Hurst, Alan Mishchenko, Robert K. Brayton Fast Minimum-Register Retiming via Binary Maximum-Flow. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sequential Verification, Retiming, Maximum Flow, State Minimization
16Hiroyuki Iwata, Tomokazu Yoneda, Hideo Fujiwara A DFT Method for Time Expansion Model at Register Transfer Level. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Kaijie Wu 0001, Ramesh Karri Algorithm-level recomputing with shifted operands-a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Chiranjeev Kumar, Hemant Kumar Pande, Rajeev Tripathi A New Boundary Location Register Signalling Protocol for Inter-system Roaming. Search on Bibsonomy CNSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Sriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri Delay Constrained Register Transfer Level Dynamic Power Estimation. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jamel Tayeb, Smaïl Niar Adapting EPIC Architecture's Register Stack for Virtual Stack Machines. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Xiaoyao Liang, David M. Brooks Mitigating the Impact of Process Variations on Processor Register Files and Execution Units. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Christopher Lupo, Kent D. Wilken Post Register Allocation Spill Code Optimization. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Solomon W. Golomb Shift Register Sequences - A Retrospective Account. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Li-Ping Wang 0001 The Vector Key Equation and Multisequence Shift Register Synthesis. Search on Bibsonomy AAECC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Abhinav Das, Rao Fu, Antonia Zhai, Wei-Chung Hsu Issues and Support for Dynamic Register Allocation. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Accelerating Color Space Conversion Using Extended Subwords and the Matrix Register File. Search on Bibsonomy ISM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Color space conversion, SIMD architectures, multimedia extensions
16Yong Shim, Youngkwon Jo, Soo Hwan Kim, Suki Kim, Kwanjun Cho A register controlled delay locked loop using a TDC and a new fine delay line scheme. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Fabio Campi, Paolo Zoffoli, Claudio Mucci, Massimo Bocchi, Antonio Deledda, Mario de Dominicis, Arseni Vitkovski A stream register file unit for reconfigurable processors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Youcef Bouchebaba, Gabriela Nicolescu, El Mostapha Aboulhamid, Fabien Coelho Buffer and register allocation for memory space optimization. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Noureddine Chabini, El Mostapha Aboulhamid, Ismaïl Chabini, Yvon Savaria Scheduling and optimal register placement for synchronous circuits derived using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiphase, sequential circuit, software pipelining, clock, Retiming
16Shadi T. Khasawneh, Kanad Ghose An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Nastaran Baradaran, Pedro C. Diniz A Register Allocation Algorithm in the Presence of Scalar Replacement for Fine-Grain Configurable Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ho Fai Ko, Qiang Xu 0001, Nicola Nicolici Register-transfer level functional scan for hierarchical designs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Berndt M. Gammel, Rainer Göttfert Linear Filtering of Nonlinear Shift-Register Sequences. Search on Bibsonomy WCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Loganathan Lingappan, Niraj K. Jha Unsatisfiability Based Efficient Design for Testability Solution for Register-Transfer Level Circuits. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Daniel L. Rosenband The ephemeral history register: flexible scheduling for rule-based designs. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Fernand Feltz, Patrik Hitzelberger Towards the Idea of a One-Stop-Administration: Experiences from the Reorganisation of the Register of Companies in Luxembourg. Search on Bibsonomy EGOV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Nuwan Jayasena, Mattan Erez, Jung Ho Ahn, William J. Dally Stream Register Files with Indexed Access. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Markus Holzer 0001, Martin Kutrib Register Complexity of LOOP-, WHILE-, and GOTO-Programs. Search on Bibsonomy MCU The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Fernando Rodríguez Salazar, John R. Barker Linear Feedback Shift Register Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jae-Sun Han, Tae-Jin Kim, Chanho Lee High performance Viterbi decoder using modified register exchange methods. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Claus Weihs, Christoph Reuter, Uwe Ligges Register Classification by Timbre. Search on Bibsonomy GfKl The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jan Müller 0001, Dirk Fimmel, Renate Merker Optimal Loop Scheduling with Register Constraints Using Flow Graphs. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Fernanda Kri, Marc Feeley Genetic Instruction Scheduling and Register Allocation. Search on Bibsonomy SCCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Pradip A. Thaker, Vishwani D. Agrawal, Mona E. Zaghloul A test evaluation technique for VLSI circuits using register-transfer level fault modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jaume Abella 0001, Antonio González 0001 Power-Aware Adaptive Issue Queue and Register File. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Michael V. Boldasov, Elena G. Sokolova QGen - Generation Module for the Register Restricted InBASE System. Search on Bibsonomy CICLing The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Christian Andersson Register Allocation by Optimal Graph Coloring. Search on Bibsonomy CC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Kaijie Wu 0001, Ramesh Karri Register Transfer Level Approach to Hybrid Time and Hardware Redundancy Based Fault Secure Datapath Synthesis. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Ramesh Karri, Kaijie Wu 0001 Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Bruce S. Greene, Samiha Mourad Partial Scan Testing on the Register-Transfer Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RT-level, fault coverage, partial scan, scan design, graph reduction
16Jaewook Shin, Jacqueline Chame, Mary W. Hall Compiler-Controlled Caching in Superword Register Files for Multimedia Extension Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Adam Smyk, Marek Tudruj Irregular Fine-Grain Parallel Computing Based on the Slide Register Window Architecture of Hitachi SR2201. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Stefan R. Meier, Mario Steinert, Steffen Buch Testability of path history memories with register-exchange architecture used in Viterbi-decoders. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Jarmo Takala, Tuomas Järvinen, Jari Nikara Register-based reordering networks for matrix transpose. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Giorgos Dimitrakopoulos, Dimitris Nikolos, Dimitris Bakalis Bit-Serial Test Pattern Generation by an Accumulator Behaving as a Non-Linear Feedback Shift Register. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Indradeep Ghosh, Krishna Sekar, Vamsi Boppana Design for Verification at the Register Transfer Level. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Dipankar Sarkar 0001 Register Transfer Operation Analysis during Data Path Verification. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Sequential Circuit Verification, Control Part - Data Path, Data Path Verification, RTL Behaviours
16Tsuyoshi Isshiki, Akihisa Ohta, T. Watanabe, T. Nakada, K. Akahane, I. Sisla, Dongju Li, Hiroaki Kunieda High density bit-serial FPGA with LUT embedding shift register function. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO: regular expression-based register-transfer level testability analysis and optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Indradeep Ghosh, Masahiro Fujita Automatic test pattern generation for functional register-transferlevel circuits using assignment decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Jan A. Bergstra, Alban Ponse Register-machine based processes. Search on Bibsonomy J. ACM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Kleene star, push-down operation, concurrency, computability, process algebra, expressivity, iteration, Bisimulation equivalence
16Matthew J. Clarkson, Daniel Rueckert, Derek L. G. Hill, David J. Hawkes Using Photo-Consistency to Register 2D Optical Images of the Human Face to a 3D Surface Model. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 2D-3D registration, photo-consistency, extrinsic parameter calibration, similarity measures, pose estimation
16Guilherme Ottoni, Sandro Rigo, Guido Araujo, Subramanian Rajagopalan, Sharad Malik Optimal Live Range Merge for Address Register Allocation in Embedded Programs. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Alvin R. Albrecht, Alan J. Hu Register Transformations with Multiple Clock Domains. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Tuomas Järvinen, Jarmo Takala, David Akopian, Jukka Saarinen Register-based multi-port perfect shuffle networks. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Dilip K. Bhavsar, Rishan Tan Observability Register Architecture For Efficient Production Test And Debug Of Vlsi Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16David May 0001, Henk L. Muller, Nigel P. Smart Random Register Renaming to Foil DPA. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Jens Schönherr, Bernd Straube Automatic Equivalence Check of Circuit Descriptions at Clocked Algorithmic and Register Transfer Level. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Pierre L'Ecuyer, François Panneton A new class of linear feedback shift register generators. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-based register coalescing. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Yoochang Jung, Stefan G. Berg, Donglok Kim, Yongmin Kim 0001 A Register File with Transposed Access Mode. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Anand Raghunathan, Sujit Dey, Niraj K. Jha Register transfer level power optimization with emphasis on glitch analysis and reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Klaus Eckl, Christian Legl Retiming Sequential Circuits with Multiple Register Classes. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Priyank Kalla, Maciej J. Ciesielski Performance Driven Resynthesis by Exploiting Retiming-Induced State Register Equivalence. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Mehul Motani, Chris Heegard Computing Weight Distributions of Convolutional Codes via Shift Register Synthesis. Search on Bibsonomy AAECC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Yumin Zhang, Xiaobo Hu 0001, Danny Z. Chen Low energy register allocation beyond basic blocks. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Khaled M. Elleithy, E. G. Abd-El-Fattah A Genetic Algorithm for Register Allocation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Stephan Avery, Marwan A. Jabri A three-port adiabatic register file suitable for embedded applications. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license