The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Core with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1952-1959 (20) 1960-1962 (19) 1963-1966 (16) 1967-1968 (25) 1969-1975 (17) 1976-1977 (15) 1978-1979 (18) 1980-1981 (16) 1982-1983 (16) 1984-1986 (25) 1987 (21) 1988 (23) 1989 (40) 1990 (38) 1991 (24) 1992 (35) 1993 (40) 1994 (72) 1995 (80) 1996 (96) 1997 (178) 1998 (232) 1999 (352) 2000 (420) 2001 (582) 2002 (762) 2003 (895) 2004 (1107) 2005 (1405) 2006 (1629) 2007 (2035) 2008 (2166) 2009 (1861) 2010 (1095) 2011 (931) 2012 (917) 2013 (1037) 2014 (1042) 2015 (1099) 2016 (1036) 2017 (1028) 2018 (1026) 2019 (1034) 2020 (897) 2021 (1010) 2022 (955) 2023 (1059) 2024 (207)
Publication types (Num. hits)
article(8245) book(46) data(8) incollection(163) inproceedings(19766) phdthesis(382) proceedings(43)
Venues (Conferences, Journals, ...)
CoRR(915) Dublin Core Conference(526) MCSoC(416) OFC(321) DATE(290) IPDPS(225) IEEE Access(215) Sensors(208) ISCAS(185) DAC(183) ECOC(144) ASP-DAC(133) ISSCC(126) HICSS(120) IEEE Trans. Comput. Aided Des....(118) IEEE J. Solid State Circuits(117) More (+10 of total 4349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14709 occurrences of 6001 keywords

Results
Found 28669 publication records. Showing 28653 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Mahdi Dashtbozorgi, Mohammad Abdollahi Azgomi A scalable multi-core aware software architecture for high-performance network monitoring. Search on Bibsonomy SIN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-performance network monitoring, multi-core aware architecture, scalable architecture
28Perry H. Wang, Jamison D. Collins, Christopher T. Weaver, Belliappa Kuttanna, Shahram Salamian, Gautham N. Chinya, Ethan Schuchman, Oliver Schilling, Thorsten Doil, Sebastian Steibl, Hong Wang 0003 Intel® atomTM processor core made FPGA-synthesizable. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel atom, synthesizable core, fpga, emulator
28Giansalvatore Mecca, Paolo Papotti, Salvatore Raunich Core schema mappings. Search on Bibsonomy SIGMOD Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF core computation, data exchange, schema mappings
28John C. Linford, John Michalakes, Manish Vachharajani, Adrian Sandu Multi-core acceleration of chemical kinetics for simulation and prediction. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chemical kinetics, kinetic preprocessor, open-MP, multi-core, cell broadband engine, NVIDIA CUDA, atmospheric modeling
28Vinay Hanumaiah, Ravishankar Rao, Sarma B. K. Vrudhula, Karam S. Chatha Throughput optimal task allocation under thermal constraints for multi-core processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal throughput, task allocation, thermal management, multi-core processors, thread migration
28Yi-Neng Lin, Ying-Dar Lin, Yuan-Cheng Lai, Kuo-Kun Tseng Modeling and analysis of core-centric network processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF core-centric, simulation, modeling, embedded system, Network processor
28Michael D. Linderman, Jamison D. Collins, Hong Wang 0003, Teresa H. Meng Merge: a programming model for heterogeneous multi-core systems. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GPGPU, predicate dispatch, heterogeneous multi-core
28Wei Huang 0004, Mircea R. Stan, Karthik Sankaranarayanan, Robert J. Ribando, Kevin Skadron Many-core design from a thermal perspective. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF many-core design, thermal design power, performance, temperature
28Danhua Guo, Guangdeng Liao, Laxmi N. Bhuyan, Bin Liu 0001, Jianxun Jason Ding A scalable multithreaded L7-filter design for multi-core servers. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF L7-filter, scheduling, QoS, scalability, parallelism, multi-core, packet classification, affinity
28Michael Bang Nielsen, Ola Nilsson, Andreas Söderström, Ken Museth Out-of-core and compressed level set methods. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive distance fields, mesh scan conversion, compression, streaming, geometric modeling, shape, implicit surfaces, computational fluid dynamics, Level set methods, morphology, out-of-core, deformable surfaces
28Qizhi Fang, Liang Kong Core Stability of Vertex Cover Games. Search on Bibsonomy WINE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Vertex cover game, stable core, largeness, exactness, balanced, extendability
28Rakesh Kumar 0002, Dean M. Tullsen, Norman P. Jouppi Core architecture optimization for heterogeneous chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computer architecture, multi-core architectures, heterogeneous chip multiprocessors
28Barbara Fila, Siva Anantharaman Automata for Positive Core XPath Queries on Compressed Documents. Search on Bibsonomy LPAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Tree grammars, Dags, Core XPath, XML, Automata
28David Sheldon, Rakesh Kumar 0002, Frank Vahid, Dean M. Tullsen, Roman L. Lysecky Conjoining soft-core FPGA processors. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF conjoined processors, parameterized platforms, soft-core processors, FPGAs, customization, tuning
28Noel Eisley, Vassos Soteriou, Li-Shiuan Peh High-level power analysis for multi-core chips. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor (CMP), multi-core, power analysis, system-on-a-chip (SoC)
28Jia-Ming Chen, Chih-Hao Chang, Shau-Yin Tseng, Jenq Kuen Lee, Wei-Kuan Shih Power Aware H.264/AVC Video Player on PAC Dual-Core SoC Platform. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Dual-Core SoC, H.264/AVC, Power-aware, DVFS
28Guo-ping Li, Guo-yong Huang The "Core-Periphery" pattern of the globalization of electronic commerce. Search on Bibsonomy ICEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF core-periphery, electronic commerce, globalization
28Holger Theisel, Jan Sahner, Tino Weinkauf, Hans-Christian Hege, Hans-Peter Seidel Extraction of Parallel Vector Surfaces in 3D Time-Dependent Fields and Application to Vortex Core Line Tracking. Search on Bibsonomy IEEE Visualization The full citation details ... 2005 DBLP  DOI  BibTeX  RDF vortex core lines, flow visualization, bifurcations
28Adam R. Klivans, Rocco A. Servedio Boosting and Hard-Core Set Construction. Search on Bibsonomy Mach. Learn. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hard core set construction, computational complexity, boosting
28Tomokazu Yoneda, Hideo Fujiwara A DFT Method for Core-Based Systems-on-a-Chip Based on Consecutive Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF consecutive transparency, core-based systems-on-a-chip, design for testability, test access mechanism, consecutive testability
28Ann Apps, Ross MacIntyre Dublin Core Metadata for Electronic Journals. Search on Bibsonomy ECDL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF metadata, Dublin Core, electronic journals, bibliographic citation
28Peter Sulatycke, Kanad Ghose A Fast Multithreaded Out-of-Core Visualization Technique. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF isosurface rendering, multithreading, out-of-core visualization
28Pao-Ann Hsiung, Chao-Sheng Lin, Shang-Wei Lin 0001, Yean-Ru Chen, Chun-Hsien Lu, Sheng-Ya Tong, Wan-Ting Su, Chihhsiong Shih, Chorng-Shiuh Koong, Nien-Lin Hsueh, Chih-Hung Chang, William C. Chu VERTAF/Multi-Core: A SysML-Based Application Framework for Multi-Core Embedded Software Development. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Ravishankar Rao, Sarma B. K. Vrudhula Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Rongrong Zhong, Yongxin Zhu 0001, Weiwei Chen, Mingliang Lin, Weng-Fai Wong An Inter-Core Communication Enabled Multi-Core Simulator Based on SimpleScalar. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Tsuyoshi Shinogi, Yuki Yamada, Terumine Hayashi, Tomohiro Yoshikawa, Shinji Tsuruoka Between-Core Vector Overlapping for Test Cost Reduction in Core Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Georg Gottlob, Alan Nash Data exchange: computing cores in polynomial time. Search on Bibsonomy PODS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity, databases, data integration, constraints, dependencies, data exchange, core, conjunctive queries, query evaluation, tractability, chase, universal solutions
27Sjoerd van der Heide, Juan Carlos Alvarado-Zacarias, Nicolas K. Fontaine, Roland Ryf, Haoshuo Chen, Rodrigo Amezcua Correa, Ton Koonen, Chigo Okonkwo Low-Loss Low-MDL Core Multiplexer for 3-Core Coupled-Core Multi-Core Fiber. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
27Qiankun Miao, Yurong Chen 0001, Jianguo Li, Qi Zhang, Yimin Zhang 0002, Guoliang Chen 0001 Parallelization and optimization of a CBVIR system on multi-core architectures. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Jungseob Lee, Nam Sung Kim Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multicore processor, DVFS, power gating
27Takefumi Yoshikawa, Takashi Hirata, Tsuyoshi Ebuchi, Toru Iwata, Yukio Arima, Hiroyuki Yamauchi An Over-1-Gb/s Transceiver Core for Integration Into Large System-on-Chips for Consumer Electronics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Qi Zhang, Yurong Chen 0001, Yimin Zhang 0002, Yinlong Xu SIFT implementation and optimization for multi-core systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Michael Kadin, Sherief Reda Frequency and voltage planning for multi-core processors under thermal constraints. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jun Yan 0008, Wei Zhang 0002 WCET Analysis for Multi-Core Processors with Shared L2 Instruction Caches. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Bibo Tu, Ming Zou, Jianfeng Zhan, Xiaofang Zhao, Jianping Fan 0002 Multi-core aware optimization for MPI collectives. Search on Bibsonomy CLUSTER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Sadaf R. Alam, Pratul K. Agarwal On the Path to Enable Multi-scale Biomolecular Simulations on PetaFLOPS Supercomputer with Multi-core Processors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Abdullah Kayi, Yiyi Yao, Tarek A. El-Ghazawi, Gregory B. Newby Experimental Evaluation of Emerging Multi-core Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27David Sheldon, Rakesh Kumar 0002, Roman L. Lysecky, Frank Vahid, Dean M. Tullsen Application-specific customization of parameterized FPGA soft-core processors. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Bo Yang 0010, Nikhil Joshi, Ramesh Karri A constant array multiplier core generator with dynamic partial evaluation architecture selection (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Raymond R.-F. Liao, Andrew T. Campbell Dynamic core provisioning for quantitative differentiated services. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF capacity dimension, point-to-multipoint congestion, virtual queue, service differentiation
27Ting-Yuan Wang, Lih-Chyau Wuu, Shing-Tsaan Huang A Scalable Core Migration Protocol for Dynamic Multicast Tree. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Sandeep Koranne Design of Reconfigurable Access Wrappers for Embedded Core Based SoC Test. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Jung-Shian Li, Cheng-Pong Lo Core-Stateless Labeling Fairness: An Integrated Architecture to Approximate Fair Bandwidth Allocations in High Speed Networks. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Byoung-Ho Cho, Jeung-Seop Kim, Jae-Hyung Bae, In-Gu Bae, Kee-Young Yoo Core-Based Fingerprint Image Classification. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Russell Impagliazzo Hard-Core Distributions for Somewhat Hard Problems. Search on Bibsonomy FOCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hard core distributions, hard-core distributions, hard problems, random guess, non uniform mode, Yao XOR lemma, computational complexity, probability, probability, Boolean functions, Boolean function, decision theory, decision problem, computational problem, k wise independent
26Yasutaka Tsunakawa Needs and trends in embedded software development for consumer electronics. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Markus Bläser, L. Shankar Ram Approximately Fair Cost Allocation in Metric Traveling Salesman Games. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fair cost allocations, Traveling salesman game, Approximate fair cost allocation, Combinatorial optimization, Cooperative games
26Serkan Yilmaz, Kostadin Ivanov, Samuel Levine Application of genetic algorithm to optimize burnable poison placement in pressurized water reactors. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF burnable poison, decision variables, gadolinium, nuclear, reactor, genetic algorithm, optimization
26Abhijit Jas, Bahram Pouya, Nur A. Touba Test data compression technique for embedded cores using virtual scan chains. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Won-Hyuck Choi, Tae-Seung Lee, Jung-Sun Kim Source Estimating Anycast for High Quality of Service of Multimedia Traffic. Search on Bibsonomy IEA/AIE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF anycast routing, intelligent traffic distributing, multicast routing, internet applications
26Peter Sulatycke, Kanad Ghose Multithreaded Isosurface Rendering on SMPs Using Span-Space Buckets. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Nur A. Touba, Bahram Pouya Testing Embedded Cores Using Partial Isolation Rings. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Intellectual Property Cores, Isolation Rings, Boundary Scan, Hill Climbing, Partial Scan, Embedded Cores, Digital Testing
25Swarup Roy, D. K. Bhattacharyya An Approach to Find Embedded Clusters Using Density Based Techniques. Search on Bibsonomy ICDCIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Variable density, embedded cluster, core-distance, core neighborhood, cluster, unsupervised
25Panos A. Patsouris A formal versioning approach for distributed objectbase. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF formal versioning approach, distributed objectbases, formal object-oriented model, core data, core behaviour, inheritance, composition operation, distribution strategy
25Jungwoo Ha, Stephen P. Crago Opportunities for concurrent dynamic analysis with explicit inter-core communication. Search on Bibsonomy PASTE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF inter-core communication, concurrency, dynamic analysis, instrumentation
25Jungseob Lee, Chi-Chao Wang, Hamid Reza Ghasemi, Lloyd Bircher, Yu Cao 0001, Nam Sung Kim Workload-adaptive process tuning strategy for power-efficient multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process parameter tuning, DVFS, multi-core processor
25Dan Gibson, David A. Wood 0001 Forwardflow: a scalable core for power-constrained CMPs. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scalable core, chip multiprocessor (cmp), power
25JongSoo Park, William J. Dally Buffer-space efficient and deadlock-free scheduling of stream applications on multi-core architectures. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compiler and tools for concurrent programming, green computing and power-efficient architectures, multi-core architectures, stream programming
25Hee-Kap Ahn, Sang Won Bae, Siu-Wing Cheng, Kyung-Yong Chwa Casting an Object with a Core. Search on Bibsonomy Algorithmica The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Manufacturing, Core, Casting, Discrete algorithms
25Roberto Giorgi, Zdravko Popovic, Nikola Puzovic Implementing Fine/Medium Grained TLP Support in a Many-Core Architecture. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DTA, many-core architectures
25Thorsten Biermann, Arne Schwabe, Holger Karl Creating Butterflies in the Core - A Network Coding Extension for MPLS/RSVP-TE. Search on Bibsonomy Networking The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RSVP-TE, Network coding, MPLS, Signaling, Core
25Yen-Lin Huang, Yun-Chung Shen, Ja-Ling Wu Scalable computation for spatially scalable video coding using NVIDIA CUDA and multi-core CPU. Search on Bibsonomy ACM Multimedia The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel computing, GPU, multi-core, CUDA, SVC
25Rob van Nieuwpoort, John W. Romein Using many-core hardware to correlate radio astronomy signals. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF lofar, correlator, many-core
25Xin Huang, Kenli Li 0001, Renfa Li A Energy Efficient Scheduling Base on Dynamic Voltage and Frequency Scaling for Multi-core Embedded Real-Time System. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real-time, energy-efficiency, embedded, DVS, multi-core, leakage power, EDF
25Li Xia, Zhong Cheng, Qu Zeng-Yan Multi-round scheduling for divisible loads on the heterogeneous cluster systems of multi-core computers. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous cluster systems, multi-core computers, multilevel cache, shared L2 cache, task scheduling, divisible loads, limited memory
25M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, Yale N. Patt Accelerating critical section execution with asymmetric multi-core architectures. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous cores, parallel programming, cmp, multi-core, locks, critical sections
25Chi-Neng Wen, Shu-Hsuan Chou, Tien-Fu Chen, Alan Peisheng Su NUDA: a non-uniform debugging architecture and non-intrusive race detection for many-core. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture, debugging, many-core, race detection
25Roman Gershman, Maya Koifman, Ofer Strichman An approach for extracting a small unsatisfiable core. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Unsatisfiable core, SAT, Resolution
25Enrico Gobbetti, Fabio Marton, José Antonio Iglesias Guitián A single-pass GPU ray casting framework for interactive out-of-core rendering of massive volumetric datasets. Search on Bibsonomy Vis. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Out-of-core rendering, Volume rendering, Ray casting, GPU acceleration
25Jianjun Guo, Ming-che Lai, Zhengyuan Pang, Libo Huang, Fangyuan Chen, Kui Dai, Zhiying Wang 0003 Hierarchical memory system design for a heterogeneous multi-core processor. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory, heterogeneous, multi-core
25Guoping Long, Dongrui Fan, Junchao Zhang, Fenglong Song, Nan Yuan, Wei Lin 0004 A Performance Model of Dense Matrix Operations on Many-Core Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dense matrix, performance model, memory bandwidth, many-core architecture
25Hongbin Wang, Daxin Liu 0001, Wei Sun 0005 Dublin Core-Based Metadata Extracting Algorithm from RDF-Based Information Elements. Search on Bibsonomy WKDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF information elements, Semantic Web, Metadata, Dublin Core
25Konstantinos Aisopos, Chien-Chun Chou, Li-Shiuan Peh Extending open core protocol to support system-level cache coherence. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coherence extensions, ocp, open core protocol, specification, mpsocs
25Amit Dvir, Michael Segal 0001 Placing and Maintaining a Core Node in Wireless Ad Hoc Sensor Networks. Search on Bibsonomy Networking The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Core Node, Sensor networks, Wireless Ad hoc Networks, Multicast tree
25Steve Pepper Expressing Dublin Core in Topic Maps. Search on Bibsonomy TMRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF metadata, Dublin Core
25Sharad Singhai, MingYung Ko, Sanjay Jinturkar, Mayan Moudgill, John Glossner An integrated ARM and multi-core DSP simulator. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-core simulation, performance measurement, just-in-time compilation, ARM, dynamic translation, embedded architectures
25Feng Hu 0001, Guoyin Wang 0001, Ying Xia Attribute Core Computation Based on Divide and Conquer Method. Search on Bibsonomy RSEISP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF positive region, attribute core, Rough set, divide and conquer
25Guangming Tan, Ninghui Sun, Guang R. Gao A parallel dynamic programming algorithm on a multi-core architecture. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scalabilitiy, dynamic programming, multi-core, memory hierarchy, data dependence
25Omer Meshar, Dror Irony, Sivan Toledo An out-of-core sparse symmetric-indefinite factorization method. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetric-indefinite, Out-of-Core
25Rajarshi Mukherjee, Seda Ogrenci Memik Physical aware frequency selection for dynamic thermal management in multi-core systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic thermal management, multi-core system
25Limin Yang, Jie Yang 0002, Hongtao Wu Fingerprint Matching Based on Octantal Nearest-Neighbor Structure and Core Points. Search on Bibsonomy ICVGIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Core point, Corresponding minutia pair, Fingerprint alignment, Fingerprint matching
25Sung-Eui Yoon, Brian Salomon, Russell Gayle, Dinesh Manocha Quick-VDR: Out-of-Core View-Dependent Rendering of Gigantic Models. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF levels-of-detail, occlusion culling, Interactive display, out-of-core algorithms, external-memory algorithm, view-dependent rendering
25Paolo Cignoni, Fabio Ganovelli, Enrico Gobbetti, Fabio Marton, Federico Ponchio, Roberto Scopigno Adaptive tetrapuzzles: efficient out-of-core construction and visualization of gigantic multiresolution polygonal models. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Level of Detail, Out-Of-Core Algorithms
25Yong-Jin Liu, Matthew Ming-Fai Yuen, Kai Tang 0001 Manifold-guaranteed out-of-core simplification of large meshes with controlled topological type. Search on Bibsonomy Vis. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Out-of-core mesh simplification, Two-manifold meshes, Controlled topological type, Large data
25Martin Isenburg, Stefan Gumhold Out-of-core compression for gigantic polygon meshes. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF processing sequences, streaming meshes, out-of-core algorithms, mesh compression, external memory data structures
25Peter Lindstrom 0001 Out-of-core construction and visualization of multiresolution surfaces. Search on Bibsonomy SI3D The full citation details ... 2003 DBLP  DOI  BibTeX  RDF out-of-core algorithms, surface simplification, large-data visualization, view-dependent refinement
25Yi-Jen Chiang, Ricardo C. Farias, Cláudio T. Silva, Bin Wei A unified infrastructure for parallel out-of-core isosurface extraction and volume rendering of unstructured grids. Search on Bibsonomy IEEE Symposium on Parallel and Large-Data Visualization and Graphics The full citation details ... 2001 DBLP  BibTeX  RDF Out-Of-Core Techniques, Parallel Computation, Volume Rendering, Scientific Visualization, Isosurface Extraction
25Bernd S. W. Schröder Uniqueness of the Core for Chain-Complete Ordered Sets. Search on Bibsonomy Order The full citation details ... 2000 DBLP  DOI  BibTeX  RDF (comparative) retraction, dismantling, fixed point property, core
25Ameet Bagwe, Rubin A. Parekhji Functional testing and fault analysis based fault coverage enhancement techniques for embedded core based systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault coverage enhancement, embedded core based systems, test constraints, Texas Instruments TMS320C27xx, memory wrapper logic, fault diagnosis, logic testing, integrated circuit testing, application specific integrated circuits, functional testing, digital signal processing chips, fault analysis
25Kuen-Jong Lee, Cheng-I Huang A hierarchical test control architecture for core based design. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hierarchical test control architecture, SOC design, IEEE P1500 Working Group, test standard, IEEE 1149.1 cores, parallel testing capabilities, hierarchical test control mechanism, deeply embedded cores, hierarchical test access, integrated circuit testing, design for testability, automatic testing, application specific integrated circuits, IEEE standards, test architecture, core based design
25Shyh-Kuang Ueng, Christopher A. Sikorski, Kwan-Liu Ma Out-of-Core Streamline Visualization on Large Unstructured Meshes. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Streamline visualization, disk management, interactive techniques, memory management, computational fluid dynamics, unstructured meshes, out-of-core algorithms
25Qizhi Fang, Rudolf Fleischer, Jian Li 0015, Xiaoxun Sun Algorithms for Core Stability, Core Largeness, Exactness, and Extendability of Flow Games. Search on Bibsonomy COCOON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Ross McIlroy, Peter Dickman, Joe Sventek Efficient dynamic heap allocation of scratch-pad memory. Search on Bibsonomy ISMM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF on-core memory, concurrency, memory management
24Johnny Öberg, Axel Jantsch, Anshul Kumar An Object-Oriented Concept for Intelligent Library Functions. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Core Based Design Methodology, Intelligent Library Functions, Object-Oriented
24Pavan Yalamanchili, Sumod K. Mohan, Tarek M. Taha Implementing a hierarchical Bayesian visual cortex model on multi-core processors. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, measurement, experimentation
24Yen-Kuang Chen, Wenlong Li, Xiaofeng Tong Parallelization of AdaBoost algorithm on multi-core processors. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24José Carlos Sancho, Darren J. Kerbyson Analysis of double buffering on two different multicore architectures: Quad-core Opteron and the Cell-BE. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Kun-Yuan Hsieh, Yen-Chih Liu, Po-Wen Wu, Shou-Wei Chang, Jenq Kuen Lee Enabling Streaming Remoting on Embedded Dual-Core Processors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Farhang Nemati, Johan Kraft, Thomas Nolte Towards migrating legacy real-time systems to multi-core platforms. Search on Bibsonomy ETFA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Jason Cong, Guoling Han, Ashok Jagannathan, Glenn Reinman, Krzysztof Rutkowski Accelerating Sequential Applications on CMPs Using Core Spilling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 28653 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license