The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Ashok Balivada, Hong Zheng, Naveena Nagi, Abhijit Chatterjee, Jacob A. Abraham A unified approach for fault simulation of linear mixed-signal circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF arithmetic distance, testing, fault simulation, mixed-signal
29O. Vermesan A Modular VLSI Architecture for Neural Networks Implementation. Search on Bibsonomy IWANN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Mar Hershenson Design platform for electrical and physical co-design of analog circuits. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design, analog, co-design
28Janardhanan S. Ajit, Yong-Bin Kim, Minsu Choi Performance assessment of analog circuits with carbon nanotube FET (CNFET). Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog, circuits
28Bradley N. Bond, Luca Daniel Automated compact dynamical modeling: an enabling tool for analog designers. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parameterized modeling, semidefinite programming, model reduction, analog design, compact modeling
28Chin-Cheng Kuo, Yen-Lung Chen, I-Ching Tsai, Li-Yu Chan, Chien-Nan Jimmy Liu Behavior-level yield enhancement approach for large-scaled analog circuits. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process variation, analog circuits, yield enhancement
28Luciano A. de Lacerda, Edson P. Santana, Cleber Vinícius A. de Almeida, Ana Isabela Araújo Cunha Distortion analysis of analog multiplier circuits using two-dimensional integral nonlinear function. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS multipliers, distortion, analog multipliers
28Wimol San-Um, Masayoshi Tachibana Simultaneous impulse stimulation and response sampling technique for built-in self test of linear analog integrated circuits. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF impulse stimulation, linear analog integrated circuits, response sampling technique, built-in self test
28Yigang He, Wenji Zhu Fault Diagnosis of Nonlinear Analog Circuits Using Neural Networks and Multi-Space Transformations. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Bilinear Transformation, Space Transformation, Neural Network, Fault Diagnosis, Analog Circuits
28Pedro Sousa, Carla Duarte, Nuno Horta FUGA: a fuzzy-genetic analog circuit optimization kernel. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithms, optimization, CAD, analog circuit, fuzzy model
28Masashi Kawaguchi, Shoji Suzuki, Takashi Jimbo, Naohiro Ishii Speed Flexibility Biomedical Vision Model Using Analog Electronic Circuits and VLSI Layout Design. Search on Bibsonomy KES (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Biomedical Vision System, Neural Network, Motion Detection, Analog Circuits
28Gabriel Oltean, Sorin Hintea, Emilia Sipos A Genetic Algorithm-Based Multiobjective Optimization for Analog Circuit Design. Search on Bibsonomy KES (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Pareto ranking, genetic algorithm, multiobjective optimization, Pareto front, analog circuit design
28Tomonori Shirotori, Yuko Osana Improved Kohonen Feature Map Associative Memory with Area Representation for Sequential Analog Patterns. Search on Bibsonomy ICANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Kohonen Feature Map (Self-Organizing Map), Successive Learning, Sequential Analog Pattern, Associative Memory
28Yalin Evren Sagduyu, Dongning Guo, Randall Berry Throughput and stability of digital and analog network coding for wireless networks with single and multiple relays. Search on Bibsonomy WICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF analog network coding, digital network coding, queue stability, stable throughput region, throughput optimal control
28Masashi Kawaguchi, Takashi Jimbo, Naohiro Ishii Analog VLSI Layout Design and the Circuit Board Manufacturing of Advanced Image Processing for Artificial Vision Model. Search on Bibsonomy KES (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Biomedical Vision System, Neural Network, Motion Detection, Analog Circuits
28K. R. Anne, S. K. Bhagavatula, Jean Chamberlain Chedjou, Kyandoghere Kyamakya Self-organized supply chain networks: theory in practice and an analog simulation based approach. Search on Bibsonomy Autonomics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaptive supply chains, analog simulation, self-organized supply chains, supply chain optimization
28Tony Poitschke, Markus Ablaßmeier, Gerhard Rigoll, Stanislavs Bardins, Stefan Kohlbecher, Erich Schneider Contact-analog information representation in an automotive head-up display. Search on Bibsonomy ETRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF HUD, contact-analog, eye tracking, calibration, automotive, head-up display
28David Walter, Scott Little, Nicholas Seegmiller, Chris J. Myers, Tomohiro Yoneda Symbolic Model Checking of Analog/Mixed-Signal Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog/mixed-signal circuits, Boolean based symbolic model checking algorithm, VHDL-AMS description, labeled hybrid Petri nets, Boolean signals, temporal logic formulas, timed CTL, Boolean variables, Boolean function, binary decision diagram, hardware description language
28Fernando da Rocha Paixão Cortes, Sergio Bampi A fully integrated CMOS RF front-end for a multi-band analog mixed-signal interface. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RF front-end, VGA, analog/RF design, frequency translation, mixer
28Yukiya Miura Proposal of Fault Diagnosis of Analog Circuits by Combining Operation-Region Model and X-Y Zoning Method: Case Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MOS transistors, operation-region model, X-Y zoning method, fault diagnosis, analog circuits
28Fernando De Bernardinis, Pierluigi Nuzzo 0001, Alberto L. Sangiovanni-Vincentelli Robust system level design with analog platforms. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog platforms, robust hierarchical design, system-level design
28Christopher Labrecque Near-term industrial perspective of analog CAD. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computer-aided design, analog, integrated circuits, mixed-signal
28Yiu-Cheong Tam, Evangeline F. Y. Young, Chris C. N. Chu Analog placement with symmetry and other placement constraints. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetry constraints, placement, analog circuits, sequence-pair
28Nuttorn Jangkrajarng, Lihong Zhang, Sambuddha Bhattacharya, Nathan Kohagen, C.-J. Richard Shi Template-based parasitic-aware optimization and retargeting of analog and RF integrated circuit layouts. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog/RF integrated circuits, layout automation, layout symmetry, design reuse, parasitics
28Soumya Pandit, Chittaranjan A. Mandal, Amit Patra A formal approach for high level synthesis of linear analog systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF L2 sensitivity, analog high level synthesis, linear systems, architecture exploration, state space model
28Maria José Pereira Dantas, Leonardo da C. Brito, Paulo Henrique Portela de Carvalho Multi-objective Memetic Algorithm Applied to the Automated Synthesis of Analog Circuits. Search on Bibsonomy IBERAMIA-SBIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-objective memetic algorithm, 2D representation, analog circuit, building-blocks, automated synthesis
28Sherif Hammouda, Hazem Said, Mohamed Dessouky, Mohamed Tawfik, Quang Nguyen, Wael M. Badawy, Hazem M. Abbas, Hussein I. Shahein Chameleon ART: a non-optimization based analog design migration framework. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog reuse, design extraction, layout compaction, layout retargeting, circuit sizing
28Tiago R. Balen, Antonio Q. Andrade, Florence Azaïs, Marcelo Lubaszewski, Michel Renovell Applying the Oscillation Test Strategy to FPAA's Configurable Analog Blocks. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPAA test, Oscillation Test Strategy (OTS), Built-In Self Test (BIST), analog testing
28Marcia G. Méndez-Rivera, Alberto Valdes-Garcia, José Silva-Martínez, Edgar Sánchez-Sinencio An On-Chip Spectrum Analyzer for Analog Built-In Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog IC test, built-in testing, frequency response, switched-capacitor circuits
28Sambuddha Bhattacharya, Nuttorn Jangkrajarng, C.-J. Richard Shi Template-driven parasitic-aware optimization of analog integrated circuit layouts. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog layout automation, optimization, sensitivity, parasitics
28Chun-Lung Hsu Control and Observation Structure for Analog Circuits with Current Test Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF current store cell (CSC), controllability, observability, analog circuit, current-mode
28Stephen J. Spinks, Chris D. Chalk, Ian M. Bell, Mark Zwolinski Generation and Verification of Tests for Analog Circuits Subject to Process Parameter Deviations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF analog test generation, fault modeling, fault simulation, catastrophic faults, supply current monitoring
28Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Trim Bit Setting of Analog Filters Using Wavelet-Based Supply Current Analysis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Analog Filer, Trim Bit, Dynamic Supply Current (IDD), Wavelet Transform
28Xin Li 0001, Yang Xu 0017, Peng Li 0001, Padmini Gopalakrishnan, Lawrence T. Pileggi A frequency relaxation approach for analog/RF system-level simulation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF analog/RF circuits, system-level simulation
28Haibo Wang 0005, Sarma B. K. Vrudhula Behavioral synthesis of field programmable analog array circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable circuits, analog synthesis
28Mike W. T. Wong, K. Y. Ko, Yim-Shu Lee Analog and Mixed-Signal IP Cores Testing. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed-signal design, analog circuit testing, SOCs
28Jens Lienig, Goeran Jerke, Thorsten Adler Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF wire width, wire planning, current-driven routing, Design methodology, electromigration, detailed routing, current density, analog circuit design
28Suresh Seshadri, Jacob A. Abraham Frequency Response Verification of Analog Circuits Using Global Optimization Techniques. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modeling, verification, global optimization, analog circuits, formal techniques, parameter variation, frequency response
28Farzan Aminian, Mehran Aminian Fault Diagnosis of Nonlinear Analog Circuits Using Neural Networks with Wavelet and Fourier Transforms as Preprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF electronic circuits, neural networks, fault diagnosis, wavelet transform, Fourier transform, analog circuits, nonlinear circuits
28Eduardo J. Peralías, Adoración Rueda, José Luis Huertas New BIST Schemes for Structural Testing of Pipelined Analog to Digital Converters. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mixed-signal IC test, testable ADC, BIST, design for test, pipelined analog to digital converters
28Abdelhakim Khouas, Anne Derieux Fault Simulation for Analog Circuits Under Parameter Variations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test optimisation, fault simulation, analog testing
28Jun-Weir Lin, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Fault diagnosis for linear analog circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF discrete signal flow graph, equivalent faults, fault diagnosis, fault diagnosis, signal flow graphs, analogue circuits, linear analog circuits
28Paolo Migliavacca Faster Time-to-Market, Lower Cost of Development and Test for Standard Analog IC. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Bipolar array, standard analog IC, time-to-market, Voltage Reference
28Mohamed Dessouky, Marie-Minerve Louërat A Layout Approach for Electrical and Physical Design Integration of High-Performance Analog Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Analog layout, layout generation
28Abdelhakim Khouas, Mohamed Dessouky, Anne Derieux Optimized Statistical Analog Fault Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault Simulation, Analog Testing, Statistical Simulation
28Bertram Emil Shi Real-Time Gabor-Type Filtering Using Analog Focal Plane Image Processors. Search on Bibsonomy CVPR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF oriented filters, image fixation, analog processing circuits, mobile robots, scale-space, image sensors, steerable filters
28Bruno Crespi, Alex Cozzi, Luigi Raffo, Silvio P. Sabatini Analog computation for phase-based disparity estimation: continuous and discrete models. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Phase-based technique, VLSI, Differential equations, Disparity, Analog computation
28Walter M. Lindermeir, Thomas J. Vogels, Helmut E. Graeb Analog Test Design with IDD Measurements for the Detection of Parametric and Catastrophic Faults. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF analog and mixed-signal test design, IDD spectral measurements, test decision criteria, yield coverage, fault modeling, fault coverage, discrimination analysis, measurement noise
28Prakash Gopalakrishnan, Vinita Vasudevan A Modified Line Expansion Algorithm for Device-level Routing of Analog Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF routing, layout, analog circuits
28Pramodchandran N. Variyam, Abhijit Chatterjee Test generation for comprehensive testing of linear analog circuits using transient response sampling. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Implicit functional testing, Transient testing, Linear Analog Circuits
28Wim Verhaegen, Geert Van der Plas, Georges G. E. Gielen Automated test pattern generation for analog integrated circuits. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF automated test pattern generation, generated fault list, optimal test signals, statistical fluctuations, statistical test criterion, ATPG algorithm, analogue integrated circuits, analog integrated circuits
28Eduardo J. Peralías, Adoración Rueda, José L. Huertas A DFT Technique for Analog-to-Digital Converters with digital correction. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Design for Testability of Data Converters, Analog and Mixed Signal Test
28Karim Arabi, Bozena Kaminska Parametric and Catastrophic Fault Coverage of Analog Circuits in Oscillation-Test Methodology. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Oscillation-Test Method, Parametric Fault Coverage, Analog Testing, Mixed-Signal Circuits
28Walter M. Lindermeir Design of robust test criteria in analog testing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF measurement selection, sample generation, analog testing, measurement noise
28Karim Arabi, Bozena Kaminska Oscillation-test strategy for analog and mixed-signal integrated circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit oscillations, oscillation test strategy, analog ICs, low-cost test method, oscillation frequency deviation, wafer-probe testing, final production testing, ASIC testing, integrated circuit testing, operational amplifiers, analogue integrated circuits, mixed analogue-digital integrated circuits, production testing, analogue-digital conversion, mixed-signal ICs
28Eric Felt, Alberto L. Sangiovanni-Vincentelli Optimization of analog IC test structures. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF integrated circuit measurement, analog IC test structures, circuit parameters, statistical analysis, integrated circuit testing, accuracy, circuit optimisation, design of experiments, design of experiments, analogue integrated circuits, statistical techniques, network parameters, integrated circuit noise, measurement noise
28A. B. Bhattacharyya, Ram Singh Rana, S. K. Guha, Rajendar Bahl, R. Anand, M. J. Zarabi, P. A. Govindacharyulu, U. Gupta, V. Mohan, Jatin Roy, Amul Atri A micropower analog hearing aid on low voltage CMOS digital process. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF differential amplifiers, automatic gain control, micropower analog hearing aid, low voltage CMOS digital process, adaptive biasing, MOS translinear loop circuit, degenerating linearising resistor, input differential stage, AGC block, conversion efficiency, 3 micron, 1.0 V, power consumption, CMOS analogue integrated circuits, hearing aids
28Abhijit Chatterjee, Bruce C. Kim, Naveena Nagi Low-cost DC built-in self-test of linear analog circuits using checksums. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF DC built-in self-test, catastrophic failures, line opens, DC transfer function, on-chip fault detection, BIST circuitry, fault diagnosis, built-in self test, integrated circuit testing, transfer functions, analogue integrated circuits, checksums, linear analog circuits, matrix representations, fault classes
28Eugenia Penn, Leo N. Schelovanov A new approach for modeling and optimization of analog systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF recurrent procedure, complex analog systems, diverse systems, computer-aided optimization design, system optimisation, modelling, modeling, CAD, optimisation, digital simulation, digital simulation
28Nagaraj Subramanyam, K. G. Praveen, Ramesh Ramani, D. Suryanarayana CODAC-a characterization system for digital and analog circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CODAC, characterization system, electrical simulator, procedural interface, customized analysis functions, parallel processing, circuit analysis computing, Monte Carlo methods, circuit CAD, SPICE, SPICE, analog circuits, digital circuits, CAD tool, digital integrated circuits, analogue integrated circuits, circuit analysis, Monte Carlo analysis
27Ender Yilmaz, Günhan Dündar Analog Layout Generator for CMOS Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Sha Yao, Mikael Skoglund Hybrid Digital-Analog Relaying for Cooperative Transmission Over Slow Fading Channels. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín Techniques for the Design of Low Voltage Power Efficient Analog and Mixed Signal Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Tobias Massier, Helmut E. Graeb, Ulf Schlichtmann The Sizing Rules Method for CMOS and Bipolar Analog Integrated Circuit Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Angelo Ciccazzo, Piero Conca, Giuseppe Nicosia, Giovanni Stracquadanio An Advanced Clonal Selection Algorithm with Ad-Hoc Network-Based Hypermutation Operators for Synthesis of Topology and Sizing of Analog Electrical Circuits. Search on Bibsonomy ICARIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Sheng-Yu Peng, Yu Tsao 0001, Paul E. Hasler, David V. Anderson A programmable analog radial-basis-function based classifier. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Joachim Becker, Fabian Henrici, Stanis Trendelenburg, Yiannos Manoli A rapid prototyping environment for high-speed reconfigurable analog signal processing. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Luiz Carlos Gouveia, Thomas Jacob Koickal, Alister Hamilton An asynchronous spike event coding scheme for programmable analog arrays. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Sri Chandra Driving Analog Mixed Signal Verification through Verilog-AMS. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Joaquin Sitte, Liang Zhang, Ulrich Rückert 0001 Characterization of Analog Local Cluster Neural Network Hardware for Control. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Lauri Koskinen, Joona Marku, Ari Paasio, Kari Halonen Architecture for Analog Variable Block-Size Motion Estimation. Search on Bibsonomy ICIP (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sheng-Yu Peng, Paul E. Hasler, David V. Anderson An analog programmable multi-dimensional radial basis function based classifier. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27I. Faik Baskaya, Sasank Reddy, Sung Kyu Lim, David V. Anderson Placement for large-scale floating-gate field-programable analog arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mikael Skoglund, Nam Phamdo, Fady Alajaji Hybrid Digital-Analog Source-Channel Coding for Bandwidth Compression/Expansion. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Alexandre Graell i Amat, Sergio Benedetto, Guido Montorsi, Daniele Vogrig, Andrea Neviani, Andrea Gerosa Design, Simulation, and Testing of a CMOS Analog Decoder for the Block Length-40 UMTS Turbo Code. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Alexandre Graell i Amat, Sergio Benedetto, Guido Montorsi, Daniele Vogrig, Andrea Neviani, Andrea Gerosa Design, Simulation, and Testing of a CMOS Analog Decoder for the Block Length-40 UMTS Turbo Code. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Takashi Nojima, Nobuto Ono, Shigetoshi Nakatake, Toru Fujimura, Koji Okazaki, Yoji Kajitani Adaptive Porting of Analog IPs with Reusable Conservative Properties. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Fujita Tomohiro, Iiduka Osamu Analog circuit sizing with dynamic search window. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Shantanu Chakrabartty CMOS analog iterative decoders using margin propagation circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Josep Soler Garrido, Robert J. Piechocki, Koushik Maharatna, Darren P. McNamara MIMO detection in analog VLSI. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Varun Aggarwal, Meng Mao, Una-May O'Reilly A Self-Tuning Analog Proportional-Integral-Derivative (PID) Controller. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Kaiping Zeng, Sorin A. Huss Structure Synthesis of Analog and Mixed-Signal Circuits using Partition Techniques. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Rodrigo Cardim, Marcelo C. M. Teixeira, Edvaldo Assunção, Nobuo Oki, Aparecido Augusto de Carvalho, Márcio R. Covacic Hardware Implementation of an Analog Neural Nonderivative Optimizer. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Michael Unser Cardinal exponential splines: part II - think analog, act digital. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jianyun Hu, Hao Min A Low Power and High Performance Analog Front End for Passive RFID Transponder. Search on Bibsonomy AutoID The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Hongrak Son, Hyunjung Kim, Hyongsuk Kim, Kil To Chong Feasibility of the Circularly Connected Analog CNN Cell Array-Based Viterbi Decoder. Search on Bibsonomy PaCT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chris Winstead Analog Soft Decoding for Multi-Level Memories. Search on Bibsonomy ISMVL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Dayu Yang, Foster F. Dai, Charles E. Stroud Built-in self-test for automatic analog frequency response measurement. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ravi Chawla, Christopher M. Twigg, Paul E. Hasler An analog modulator/demodulator using a programmable arbitrary waveform generator. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Fernando De Bernardinis, Alberto L. Sangiovanni-Vincentelli A Methodology for System-Level Analog Design Space Exploration. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Antonio Andrade Jr., Érika F. Cota, Marcelo Lubaszewski Improving mixed-signal SOC testing: a power-aware reuse-based approach with analog BIST. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-chip, BIST, power aware, mixed-signal test
27Chin-Long Wey, Mohammad Athar Khalil, Jim Liu, Gregory Wierzba Hierarchical extreme-voltage stress test of analog CMOS ICs for gate-oxide reliability enhancement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IC reliability
27José Franco Machado do Amaral, Jorge Luís Machado do Amaral, Cristina Costa Santini, Ricardo Tanscheit, Marley M. B. R. Vellasco, Marco Aurélio Cavalcanti Pacheco Towards Evolvable Analog Artificial Neural Networks Controllers. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Jörg Langeheine, Karlheinz Meier, Johannes Schemmel, Martin Trefzer Intrinsic Evolution of Digital-to-Analog Converters Using a CMOS FPTA Chip. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Junwei Hou, Abhijit Chatterjee Concurrent transient fault simulation for analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Wallace B. Leigh Simplifying Analog CMOS Design for Undergraduates. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Alain Vachoux, Christoph Grimm 0001, Karsten Einwich Analog and mixed signal modelling with SystemC-AMS. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Yuan-Pei Lin, See-May Phoong Analog representation and digital implementation of OFDM systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Hung-Chih Liu, Zwei-Mei Lee, Jieh-Tsorng Wu A digital background calibration technique for pipelined analog-to-digital converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Marco S. Dragic, Martin Margala Power Supply Current Test Approach for Resistive Fault Screening in Embedded Analog Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license