The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for asynchronous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1964 (15) 1965-1967 (17) 1968-1969 (23) 1970-1971 (33) 1972-1973 (20) 1974 (19) 1975-1976 (23) 1977-1978 (32) 1979-1980 (21) 1981-1982 (29) 1983 (21) 1984 (17) 1985 (30) 1986 (38) 1987 (50) 1988 (71) 1989 (85) 1990 (97) 1991 (100) 1992 (157) 1993 (167) 1994 (220) 1995 (311) 1996 (289) 1997 (312) 1998 (301) 1999 (369) 2000 (399) 2001 (450) 2002 (521) 2003 (542) 2004 (602) 2005 (737) 2006 (788) 2007 (858) 2008 (774) 2009 (663) 2010 (531) 2011 (474) 2012 (476) 2013 (557) 2014 (495) 2015 (523) 2016 (582) 2017 (601) 2018 (629) 2019 (735) 2020 (685) 2021 (768) 2022 (782) 2023 (880) 2024 (229)
Publication types (Num. hits)
article(7130) book(16) data(2) incollection(46) inproceedings(10730) phdthesis(190) proceedings(34)
Venues (Conferences, Journals, ...)
CoRR(1205) ASYNC(594) IEEE Trans. Computers(175) ISCAS(163) IEEE Trans. Commun.(149) PODC(147) IEEE Access(139) ICC(130) IEEE Trans. Wirel. Commun.(130) IPDPS(121) IACR Cryptol. ePrint Arch.(115) GLOBECOM(111) DISC(109) HICSS(104) IEEE Trans. Parallel Distribut...(103) ICASSP(102) More (+10 of total 3075)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9115 occurrences of 3515 keywords

Results
Found 18148 publication records. Showing 18148 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Masayuki Tsukisaka, Takashi Nanya A testable design for asynchronous fine-grain pipeline circuits. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous fine-grain pipeline circuits, dynamic gates, high-performance datapath design, pipeline latches, scan latch libraries, logic testing, logic CAD, SPICE, CMOS technology, scan path, SPICE simulation, testable design
28Anatoly Prihozhy Asynchronous Scheduling and Allocation. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scheduling, High-level synthesis, Asynchronous circuits, Allocation
28Sun-Yen Tan, Stephen B. Furber, Wen-Fang Yen The Design of an Asynchronous VHDL Synthesizer. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Synthesis, VHDL, Asynchronous
28O. A. Petlin, Stephen B. Furber Built-In Self-Testing of Micropipelines. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Built-in self-test, Design for test, Asynchronous design, Micropipelines
28Xiaojie Li, Kenichi Harada An efficient asynchronous data transmission mechanism for data parallel languages. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous data transmission mechanism, linguistic support, array references, N-level message queue, exact data-flow analysis, data transmission requests, SPMD code, parallel programming, compilers, program compilers, distributed memory systems, parallel languages, optimization techniques, distributed memory multiprocessors, data parallel languages
28Mark E. Cavender, Xiaodong Zhang 0001 Software Support for Asynchronous Computing Across Networks. Search on Bibsonomy COMPSAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PVM and software implementation, distributed computing, network of workstations, asynchronous communications
28Barry Arons Tools for Building Asynchronous Servers to Support Speech and Audio Applications. Search on Bibsonomy ACM Symposium on User Interface Software and Technology The full citation details ... 1992 DBLP  DOI  BibTeX  RDF audio servers, distributed client-server architecture, speech and studio applications, speech recognition and synthesis, remote procedure call, asynchronous message passing
28Jing Wang Asynchronous computing and communication architecture toward energy efficient wireless sensor networks. Search on Bibsonomy WOWMOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Bao Liu 0001 Robust differential asynchronous nanoelectronic circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Yu-Chen Kuo, Chih-Nung Chen CRT-MAC: A Power-Saving Multicast Protocol in the Asynchronous Ad Hoc Networks. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Ad hoc network, Multicast, Chinese Remainder Theorem, Power-Saving
28Ming-Xian Chang Single-Carrier Block Transmission Asynchronous CDMA with Frequency-Domain Equalization. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Jing Wang 0010, Yonghe Liu, Sajal K. Das 0001 Asynchronous Sampling Benefits Wireless Sensor Networks. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Dipnarayan Guha, Thambipillai Srikanthan Reconfigurable Frame Parser Design for Multi-Radio Support on Asynchronous Microprocessor Cores. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Ionel Muscalagiu, José M. Vidal, Vladimir Cretu, Horia Emil Popa, Manuela Panoiu The Effects of Agent Synchronization in Asynchronous Search Algorithms. Search on Bibsonomy KES-AMSTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Eslam Yahya, Marc Renaudin QDI Latches Characteristics and Asynchronous Linear-Pipeline Performance Analysis. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Comparing the Performance of a 64-bit Fully-Asynchronous Superscalar Processor versus its Synchronous Counterpart. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Sam Chung, Jennifer R. Pan, Sergio Davalos A Special Web Service Mechanism: Asynchronous .NET Web Services. Search on Bibsonomy AICT/ICIW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Jerome Quartana, Laurent Fesquet, Marc Renaudin Modular Asynchronous Network-on-Chip: Application to GALS Systems Rapid Prototyping. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Giovanni Di Crescenzo, Aggelos Kiayias Asynchronous Perfectly Secure Communication over One-Time Pads. Search on Bibsonomy ICALP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Hiroshi Nishida, Hairong Kuang Experiments on Asynchronous Partial Gauss-Seidel Method. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Nitin Gupta, Doug A. Edwards Synthesis of Asynchronous Circuits Using Early Data Validity. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Mathew A. Sacker, Andrew D. Brown, Andrew J. Rushton, Peter R. Wilson A behavioral synthesis system for asynchronous circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Bertrand Mesot, Christof Teuscher Critical Values in Asynchronous Random Boolean Networks. Search on Bibsonomy ECAL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28George S. Taylor, Simon W. Moore, Robert D. Mullins, Peter Robinson 0001 Point to Point GALS Interconnect. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Eric Keller Building Asynchronous Circuits with JBits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Yasunori Nagata, D. Michael Miller, Masao Mukaidono Logic Synthesis of Controllers for B-Ternary Asynchronous Systems. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Ugo Montanari, Marco Pistore Finite State Verification for the Asynchronous pi-Calculus. Search on Bibsonomy TACAS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Dave Johnson 0003, Venkatesh Akella, Bret Stott Micropipelined asynchronous discrete cosine transform (DCT/IDCT) processor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Riccardo Mariani, Roberto Roncella, Roberto Saletti, Pierangelo Terreni Useful Application of CMOS Ternary Logic to the Realisation of Asynchronous Circuits. Search on Bibsonomy ISMVL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Kimberly D. Emerson Asynchronous Design - An Interesting Alternative. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Thomas T. Hildebrandt, Vladimiro Sassone Comparing Transition Systems with Independence and Asynchronous Transition Systems. Search on Bibsonomy CONCUR The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Recep O. Ozdag, Peter A. Beerel High-Speed QDI Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF conditional split, conditional join, QDI, pipelines, asynchronous, dynamic logic, joins, non-linear, fine-grain, micropipelines, forks
27Tiberiu Chelcea, Steven M. Nowick Low-Latency Asynchronous FIFO's Using Token Rings. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, asynchronous, FIFO, low-latency, digital design, token ring
27Hans van Gageldonk, Kees van Berkel 0001, Ad M. G. Peeters, Daniel Baumann, Daniel Gloor, Gerhard Stegmann An Asynchronous Low-Power 80C51 Microcontroller. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLSI-programming, low-power, microcontrollers, asynchronous design
27Bruce W. Hunt, Kenneth S. Stevens, Bruce W. Suter, Donald S. Gelosh A Single Chip Low Power Asynchronous Implementation of an FFT Algorithm for Space Applications. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Radiation Tolerant, VLSI, FFT, Asynchronous
27David A. Kearney, Neil W. Bergmann Bundled Data Asynchronous Multipliers with Data Dependent Computation Times. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF asynchronous logic data dependent performance multiplier
27D. A. Gilbert, Jim D. Garside A Result Forwarding Mechanism for Asynchronous Pipelined Systems. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dependency, asynchronous, Exception, reorder buffer
27Pedro A. Molina, Peter Y. K. Cheung A Quasi Delay-Insensitive Bus Proposal for Asynchronous Systems. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Tri-state Buffers, Asynchronous, Composability, Bus, Data Path, Delay-Insensitive, Handshake Circuits
27Steven M. Nowick, Kenneth Y. Yun, Ayoob E. Dooply, Peter A. Beerel Speculative Completion for the Design of High-Performance Asynchronous Dynamic Adders. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF completion detection, Brent-Kung, Carry-Bypass, asynchronous, adders, hazards, high-performance design
27Kenneth Y. Yun, Ayoob E. Dooply, Julio Arceo, Peter A. Beerel, Vida Vakilotojar The Design and Verification of A High-Performance Low-Control-Overhead Asynchronous Differential Equation Solver. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Differential equation solver, Low control, Completion sensing, Model checking, Distributed control, overhead, Asynchronous design
27Sam S. Appleton, Shannon V. Morton, Michael J. Liebelt Two-Phase Asynchronous Pipeline Control. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-phase asynchronous pipeline control, bounded-delay model, prototype microprocessor, microprocessor chips
27Amitava Mitra, William F. McLaughlin, Steven M. Nowick Efficient Asynchronous Protocol Converters for Two-Phase Delay-Insensitive Global Communication. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Gwen Salaün, Wendelin Serwe, Yvain Thonnart, Pascal Vivet Formal Verification of CHP Specifications with CADP Illustration on an Asynchronous Network-on-Chip. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Feng Shi 0010, Yiorgos Makris A Transistor-Level Test Strategy for C^2MOS MOUSETRAP Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27D. Caucheteux, Edith Beigné, Elisabeth Crochon, Marc Renaudin AsyncRFID: Fully Asynchronous Contactless Systems, Providing High Data Rates, Low Power and Dynamic Adaptation. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Tin Wai Kwan, Maitham Shams Design of High-Performance Power-Aware Asynchronous Pipelined Circuits in MOS Current Mode Logic. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Marcos Ferretti, Recep O. Ozdag, Peter A. Beerel High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Recep O. Ozdag, Peter A. Beerel A Channel Based Asynchronous Low Power High Performance Standard-Cell Based Sequential Decoder Implemented with QDI Templates. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Alireza Kaviani Phase Alignment Using Asynchronous State Machines. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Tony Werner, Venkatesh Akella An Asynchronous Superscalar Architecture for Exploiting Instruction-Level Parallelism. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Jens Muttersbach, Thomas Villiger, Wolfgang Fichtner Practical Design of Globally-Asynchronous Locally-Synchronous Systems. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Euiseok Kim, Jeong-Gun Lee, Dong-Ik Lee Automatic Process-Oriented Control Circuit Generation for Asynchronous High-Level Synthesis. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Jim D. Garside, W. J. Bainbridge, Andrew Bardsley, David M. Clark, David A. Edwards, Stephen B. Furber, David W. Lloyd, Siamak Mohammadi, J. S. Pepper, Steve Temple, John V. Woods, Jianwei Liu, O. Petli AMULET3i - An Asynchronous System-on-Chip. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27David W. Lloyd, Jim D. Garside, D. A. Gilbert Memory Faults in Asynchronous Microprocessors. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Riccardo Mariani, Roberto Roncella, Roberto Saletti, Pierangelo Terreni On the Realisation of Delay-Insensitive Asynchronous Circuits with CMOS Ternary Logic. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Paul Teehan, Mark R. Greenstreet, Guy G. Lemieux A Survey and Taxonomy of GALS Design Styles. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF globally asynchronous, locally synchronous (GALS), clock domains, pausible clocks, loosely synchronous, synchronization, asynchronous
25George A. Papadopoulos, Farhad Arbab Coordination of Systems with Real-Time Properties in Manifold. Search on Bibsonomy COMPSAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF real-time system coordination, asynchronous timed computations, real-time coordination, MANIFOLD coordination language, bounded response time, timed asynchronous computational models, concurrent constraint languages, complex software system building, complex hardware system building, distributed multimedia application development, real-time systems, timing, software reusability, reusability, parallel languages, multimedia computing, coordination models
25Takayuki Dan Kimura Object-Oriented Dataflow. Search on Bibsonomy VL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF object-oriented dataflow, object-oriented visual programming language, calling mechanisms, synchronous call, asynchronous call, asynchronous subroutine call, class-based abstraction, functional abstraction, object-oriented programming, object-oriented programming, visual programming, visual languages, computation model, data flow analysis, object-oriented languages, object-oriented model, subroutines, subroutine, functional model, abstraction mechanism
25K. B. Lakshmanan, Krishnaiyan Thulasiraman, M. A. Comeau An Efficient Distributed Protocol for Finding Shortest Paths in Networks with Negative Weights. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF efficient distributed protocol, negative weights, single-source shortest-path problem, asynchronous directed network, unbounded message complexity, synchronous version, Chandy-Misra algorithm, efficient synchronizer, computational complexity, protocols, distributed algorithms, distributed processing, directed graphs, time complexities, edges, cycle, nodes, worst case, asynchronous protocol
25K. C. Sivaramakrishnan, Lukasz Ziarek, Raghavendra Prasad, Suresh Jagannathan Lightweight asynchrony using parasitic threads. Search on Bibsonomy DAMP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF lightweight threading, mlton, message passing, asynchronous communication
25Tevfik Bultan, Jianwen Su, Xiang Fu 0001 Analyzing Conversations of Web Services. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF synchronizability, Web services, model checking, conversations, asynchronous communication, realizability
25Koushik Niyogi, Diana Marculescu System level power and performance modeling of GALS point-to-point communication interfaces. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed clock FIFO, pausible clock, power modeling, globally asynchronous locally synchronous
24Paulo Sousa 0001, Nuno Ferreira Neves, Paulo Veríssimo How Resilient are Distributed f Fault/Intrusion-Tolerant Systems? Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Ionel Muscalagiu The Effect of Flag Introduction on the Explosion of Nogood Values in the Case of ABT Family Techniques. Search on Bibsonomy CEEMAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Eun-Gu Jung, Eonpyo Hong, Kyoung-Son Jhang, Jeong-A Lee, Dong-Soo Har Self-timed Interconnect with Layered Interface Based on Distributed and Modularized Control for Multimedia SoCs. Search on Bibsonomy PCM (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Julian Rathke Resource Based Models for Asynchrony. Search on Bibsonomy FoSSaCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Jean-Luc Gaudiot, Chih-Ming Lin Chaotic Linear System Solvers in a Variable-Grain Data-Driven Multiprocessor System. Search on Bibsonomy PARLE (2) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
24Kenneth C. Sevcik, Marjory J. Johnson Cycle Time Properties of the FDDI Token Ring Protocol. Search on Bibsonomy SIGMETRICS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
24Peter A. Beerel, Georgios D. Dimou, Andrew Lines Proteus: An ASIC Flow for GHz Asynchronous Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF slack matching, asynchronous place and route, high performance, design and test, communicating sequential processes, asynchronous design
24Marcus Jeitler, Jakob Lechner Speeding up Fault Injection for Asynchronous Logic by FPGA-Based Emulation. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Four State Logic, Asynchronous Processor Design, Fault Injection, Asynchronous Design
24Ajay Khoche, Erik Brunvand Critical hazard free test generation for asynchronous circuits. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF self-timed control circuits, critical hazard-free tests, six-valued algebra, macro-module library, partial scan based DFT environment, unbounded delay model, asynchronous circuits, asynchronous circuits, D-algorithm
24Priyadarsan Patra, Donald S. Fussell Fully asynchronous, robust, high-throughput arithmetic structures. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fully asynchronous structures, high-throughput arithmetic structures, bit serial adders, scaleability, VLSI, digital arithmetic, asynchronous circuits, adders, integrated logic circuits, multiplying circuits, RSA cryptosystems, delay-insensitive, bit serial multipliers
24Gene Itkis, Leonid A. Levin Fast and Lean Self-Stabilizing Asynchronous Protocols Search on Bibsonomy FOCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF time polynomial, self-stabilizing asynchronous protocols, asynchronous general topology dynamic networks, identical nameless nodes, worst-case transient faults, faulty configuration
24Yonatan Aumann, Zvi M. Kedem, Krishna V. Palem, Michael O. Rabin Highly Efficient Asynchronous Execution of Large-Grained Parallel Programs Search on Bibsonomy FOCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF memory space overhead, highly efficient asynchronous execution, large-grained parallel programs, processor instructions, PRAM programs, n-processor asynchronous parallel system, granularity, synchronization mechanisms
24Sterling R. Whitaker, Gary K. Maki Self Synchronized Asynchronous Sequential Pass Transistor Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF self synchronisation, asynchronous sequential pass transistor circuits, CMOS VLSI, critical race free state assignments, VLSI, sequential circuits, CMOS integrated circuits, asynchronous sequential logic
24Charles U. Martel, Ramesh Subramonian, Arvin Park Asynchronous PRAMs Are (Almost) as Good as Synchronous PRAMs Search on Bibsonomy FOCS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF synchronous PRAMs, asynchronous behavior, CRCW, concurrent-read, concurrent-write, asynchronous CRCW PRAM, expected work, parallel step, parallel instructions, fail-stop error, parallel random-access-machine, synchronization primitive, simulation technique
24Aparna Chandramowlishwaran, Kathleen Knobe, Richard W. Vuduc Applying the concurrent collections programming model to asynchronous parallel dense linear algebra. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF concurrent collections, asynchronous algorithms, dense linear algebra
24Lixia Liu, Zhiyuan Li 0001 Improving parallelism and locality with asynchronous algorithms. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel numerical programs, data locality, memory performance, loop tiling, asynchronous algorithms
24Waleed K. Al-Assadi, Sindhu Kakarla Design for Test of Asynchronous NULL Convention Logic (NCL) Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF NULL convention logic (NCL), SCOAP, ATPG, Asynchronous circuits, Design for test (DFT)
24Alexey Lopich, Piotr Dudek Hardware Implementation of Skeletonization Algorithm for Parallel Asynchronous Image Processing. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Binary skeletonization, Grassfire transformation, Wave propagations, Asynchronous processing
24Yang Li, Zheng Li, Nenghai Yu, Ke Ma APFA: Asynchronous Parallel Finite Automaton for Deep Packet Inspection in Cloud Computing. Search on Bibsonomy CloudCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Asynchronous parallel finite automaton, Cloud computing, Deep packet inspection
24Jean-Claude Charr, Raphaël Couturier, David Laiymani JACEP2P-V2: A Fully Decentralized and Fault Tolerant Environment for Executing Parallel Iterative Asynchronous Applications on Volatile Distributed Architectures. Search on Bibsonomy GPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Decentralized global Convergence, Parallel iterative asynchronous algorithms, Distributed clusters, Peer-to-Peer architectures
24Sergey Arykov, Victor Malyshkin Asynchronous Language and System of Numerical Algorithms Fragmented Programming. Search on Bibsonomy PaCT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fragmented technology of programming, asynchronous languages and programming systems, dynamic program’s properties, automation of parallel realization of numerical models
24Zheng Huang, Weidong Qiu, Qiang Li 0026, Kefei Chen Efficient Secure Multiparty Computation Protocol in Asynchronous Network. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Secure Multiparty Computation, Asynchronous Network
24Avinash Malik, Zoran A. Salcic, Alain Girault, Adam Walker, Sung Chul Lee A customizable multiprocessor for Globally Asynchronous Locally Synchronous execution. Search on Bibsonomy JTRES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF synchronous and asynchronous concurrency, multiprocessor, GALS, reactivity
24Xuwen Yu, Surendar Chandra Designing an asynchronous group communication middleware for wireless users. Search on Bibsonomy MSWiM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wireless lan, asynchronous, gossip
24Myungsu Choi, Minsu Choi Scalability of Globally Asynchronous QCA (Quantum-Dot Cellular Automata) Adder Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QCA (quantum-dot cellular automata), Asynchronous architecture, Layout timing problem, Scalability, Robustness
24Chang Ouk Kim, Ick-Hyun Kwon, Jun-Geol Baek Asynchronous action-reward learning for nonstationary serial supply chain inventory control. Search on Bibsonomy Appl. Intell. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Action reward learning, Asynchronous performance measure update, Situation reactive inventory control, Two-stage serial supply chain, Nonstationary customer demand, Machine learning
24Zhiyi Yu, Michael J. Meeuwsen, Ryan W. Apperson, Omar Sattari, Michael A. Lai, Jeremy W. Webb, Eric W. Work, Tinoosh Mohsenin, Bevan M. Baas Architecture and Evaluation of an Asynchronous Array of Simple Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF programmable DSP, digital signal processing, DSP, multi-core, array processor, GALS, many-core, chip multi-processor, globally asynchronous locally synchronous
24Yanjun Sun, Omer Gurewitz, David B. Johnson 0001 RI-MAC: a receiver-initiated asynchronous duty cycle mac protocol for dynamic traffic loads in wireless sensor networks. Search on Bibsonomy SenSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asynchronous duty cycling, sensor networks, medium access control, energy, ns-2, tinyos
24Roy Krischer, Peter A. Buhr Asynchronous exception propagation in blocked tasks. Search on Bibsonomy Workshop on Exception Handling The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asynchronous exceptions, resumption, exception handling
24Rajat Subhra Chakraborty, Swarup Bhunia Micropipeline-Based Asynchronous Design Methodology for Robust System Design Using Nanoscale Crossbar. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Diode-resistor logic, CMOSNano, Asynchronous design
24Ciprian Docan, Manish Parashar, Scott Klasky DART: a substrate for high speed asynchronous data IO. Search on Bibsonomy HPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rdma asynchronous data transfers
24Camelia Chira, Anca Gog, Dumitru Dumitrescu Distribution, Collaboration and Coevolution in Asynchronous Search. Search on Bibsonomy DCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distributed population topology, asynchronous search, evolutionary algorithms, coevolution, emergent behavior
24Einar Broch Johnsen, Olaf Owe An Asynchronous Communication Model for Distributed Concurrent Objects. Search on Bibsonomy Softw. Syst. Model. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous method calls, Distributed systems, Rewriting logic, Concurrent objects
24Sobeeh Almukhaizim, Yiorgos Makris Concurrent Error Detection Methods for Asynchronous Burst-Mode Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF asynchronous burst-mode machines, error-detecting codes, Concurrent error detection, Berger code
24Bruno Galilée, Franck Mamalet, Marc Renaudin, Pierre-Yves Coulon Parallel Asynchronous Watershed Algorithm-Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous algorithm-architecture, performance evaluation, image segmentation, hill-climbing, correctness proof, parallel processors, watershed
24Amnon Meisels, Roie Zivan Asynchronous Forward-checking for DisCSPs. Search on Bibsonomy Constraints An Int. J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Distributed CSPs, Asynchronous search, Forward-checking
24Kwang-Ting (Tim) Cheng Combining synchronous and asynchronous timing schemes for high-performance systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-performance systems, synchronous, asynchronous, DAC, ITC
24Daniel Popa, Joanna Tomasik On Markov Chain Modelling of Asynchronous Optical CSMA/CA Protocol. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous optical CSMA/CA protocol, Variable size packets, MAC protocol performance, Markov model
Displaying result #201 - #300 of 18148 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license