The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Norman P. Jouppi, David W. Wall Available Instruction-Level Parallelism for Superscalar and Superpipelined Machines. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Somnath Paul, Swarup Bhunia VAIL: variation-aware issue logic and performance binning for processor yield and profit improvement. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF narrow-width operand, superscalar processor, within-die variation
23Weiwu Hu, Ji-Ye Zhao, Shi-Qiang Zhong, Xu Yang, Elio Guidetti, Chris Wu Implementing a 1GHz Four-Issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF superscalar pipeline, non-blocking cache, synthesis flow, bit-sliced placement, crafted cell, performance evaluation, physical design, out-of-order execution, general-purpose processor
23Michael Ferdman, Babak Falsafi Last-Touch Correlated Data Streaming. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF predictor lookahead, last-touch correlated data streaming, address-correlating predictor, cache block address identification, correlation data storage, program active memory footprint, prediction lookahead, off-chip correlation data lookup, scalable on-chip table, low-latency lookup, on-chip storage, last-touch predictor, prefetch, superscalar processor, cycle-accurate simulation
23ElMoustapha Ould-Ahmed-Vall, James Woodlee, Charles Yount, Kshitij A. Doshi, Seth Abraham Using Model Trees for Computer Architecture Performance Analysis of Software Applications. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF superscalar machine, computer architecture performance analysis, tuning software, statistical regression modeling, M5' algorithm, SPEC CPU2006 suite, performance model tree, prefetching, software application
23Matt T. Yourst PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF client-server networked benchmark, PTLsim, cycle accurate full system, x86-64 microarchitectural simulator, superscalar x86-64 processor core, full-speed native execution, microarchitectural simulators, x86 ISA, microoperation level, multiprocessor capable simulation, Xen hypervisor, AMD Athlon 64 machine, virtual machine
23Xianfeng Li, Abhik Roychoudhury, Tulika Mitra Modeling out-of-order processors for WCET analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Worst-case execution time (WCET) analysis, Out-of-order superscalar processor, Branch prediction, Instruction cache
23Oguz Ergin, Deniz Balkan, Dmitry Ponomarev 0001, Kanad Ghose Early Register Deallocation Mechanisms Using Checkpointed Register Files. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register file optimization, Superscalar processors, precise interrupts
23Adrián Cristal, Oliverio J. Santana, Francisco J. Cazorla, Marco Galluzzi, Tanausú Ramírez, Miquel Pericàs, Mateo Valero Kilo-Instruction Processors: Overcoming the Memory Wall. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF in-flight instructions, ROB, superscalar processors, memory wall, issue queue, Kilo-instruction processors
23Ali El-Haj-Mahmoud, Ahmed S. Al-Zawawi, Aravindh Anantaraman, Eric Rotenberg Virtual multiprocessor: an analyzable, high-performance architecture for real-time computing. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF worst-case execution time, schedulability analysis, superscalar processor, simultaneous multithreading, hard real-time, resource partitioning
23Muhammad Shaaban, Edward Mulrane Improving trace cache hit rates using the sliding window fill mechanism and fill select table. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF branch promotion, fetch mechanisms, fill mechanisms, superscalar processors, cache performance, trace cache
23Fadi Busaba, Timothy J. Slegel, Steven R. Carlough, Christopher A. Krygowski, John G. Rell The design of the fixed point unit for the z990 microprocessor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF superscalar FXU, microprocessor
23Isak Jonsson, Bo Kågström RECSY - A High Performance Library for Sylvester-Type Matrix Equations. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Sylvester-type matrix equations, RECSY, recursion, superscalar, LAPACK, level 3 BLAS, GEMM-based, SLICOT, automatic blocking
23Isak Jonsson, Bo Kågström Recursive blocked algorithms for solving triangular systems - Part I: one-sided and coupled Sylvester-type matrix equations. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SMP parallelization, generalized coupled Sylvester, standard Sylvester and Lyapunov, recursion, superscalar, LAPACK, level-3 BLAS, GEMM-based, SLICOT, Matrix equations, automatic blocking
23Sébastien Nussbaum, James E. Smith 0001 Statistical Simulation of Symmetric Multiprocessor Systems. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SimpleMP, Simulation, Performance, Architecture, multiprocessor, Statistical, Memory Hierarchy, systems, SMP, superscalar, Fast, shared bus, out-of-order
23Kazunori Ogata, Hideaki Komatsu, Toshio Nakatani Bytecode fetch optimization for a Java interpreter. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF pipelined interpreter, stack caching, Java, performance, superscalar processor, PowerPC, bytecode interpreter
23José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF path-based selector, Branch prediction, superscalar processors, value prediction, hybrid predictor
23Ramon Canal, Antonio González 0001 Reducing the complexity of the issue logic. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF complexity-effective design, instruction issue logic, wide-issue superscalar, out-of-order issue
23Chia-Lin Yang, Barton Sano, Alvin R. Lebeck Exploiting Parallelism in Geometry Processing with General Purpose Processors and Floating-Point SIMD Instructions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF geometry pipeline, paired-single instructions, 3D graphics, superscalar processors, SIMD instructions
23Sang Jeong Lee, Yuan Wang, Pen-Chung Yew Decoupled Value Prediction on Trace Processors. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Wide-issue superscalar processors, Trace processors, Speculative execution, Value prediction
23Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung Design of Instruction Stream Buffer with Trace Support for X86 Processors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache
23Mondira Deb Pant, Pankaj Pant, D. Scott Wills, Vivek Tiwari Inductive Noise Reduction at the Architectural Level. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SIMD, superscalar, Clock-gating, Ground Bounce
23Eric Rotenberg, Steve Bennett, James E. Smith 0001 A Trace Cache Microarchitecture and Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, Instruction cache, trace cache, instruction fetching
23Kemal Ebcioglu, Erik R. Altman, Sumedh W. Sathaye, Michael Gschwind Execution-Based Scheduling for VLIW Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF INSTRUCTION-LEVEL PARALLELISM, SUPERSCALAR, BINARY TRANSLATION, DYNAMIC COMPILATION
23Bohuslav Rychlik, John Faistl, Bryon Krug, John Paul Shen Efficacy and Performance Impact of Value Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF usefulness tracking, instruction level parallelism, speculative execution, superscalar processor, value prediction, hybrid predictor
23Soo-Mook Moon, Kemal Ebcioglu Parallelizing Nonnumerical Code with Selective Scheduling and Software Pipelining. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF global instruction scheduling, speculative code motion, instruction-level parallelism, software pipelining, VLIW, superscalar
23Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
23A. Pavlov, Jean-Luc Béchennec, Daniel Etiemble Performance evaluation of the memory hierarchy of a desktop PC using commodity chips with specific traces. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory hierarchy simulation, desktop PC, commodity chips, PC microcomputers, synthetic bus traces, dynamically scheduled superscalar microprocessor, performance evaluation, memory architecture
23Eric Sprangle, Robert S. Chappell, Mitch Alsup, Yale N. Patt The Agree Predictor: A Mechanism for Reducing Negative Branch History Interference. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-level branch prediction, branch prediction, speculative execution, superscalar
23Mayan Moudgill, Stamatis Vassiliadis Precise Interrupts. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF interrupt handlers, out-of-order issue processors, instruction level parallel processors, pipelining, exceptions, superscalar processors, traps, precise interrupts
23Wolfgang K. Giloi, Ulrich Brüning 0001, Wolfgang Schröder-Preikschat MANNA: Prototype of a Distributed Memory Architecture with Maximized Sustained Performance. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF maximized sustained performance, compiler problem, innovative architectural solutions, overlapping communication, MANNA computer, benchmark performance, performance evaluation, parallel computers, operating system, parallel machines, distributed memory systems, parallelizing compilers, operating systems (computers), programmability, virtual storage, parallelising compilers, latency hiding, distributed memory parallel computer, distributed memory architecture, virtual shared memory, global address space, superscalar microprocessors
23Eric Rotenberg, Steve Bennett, James E. Smith 0001 Trace Cache: A Low Latency Approach to High Bandwidth Instruction Fetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, instruction cache, trace cache, instruction fetching
23Jian Wang, Guang R. Gao Pipelining-Dovetailing: A Transformation to Enhance Software Pipelining for Nested Loops. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Very Long Instruction Word(VLIW), Instruction-Level Parallelism, Software Pipelining, Superscalar, Nested Loop, Loop Scheduling, Fine-Grain Parallelism
23Raymond Lo, Sun Chan, James C. Dehnert, Ross A. Towle Aggregate Operation Movement: A Min-Cut Approach to Global Code Motion. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF global code motion, software pipelining, network flow, superscalar, minimum cut, global scheduling
23Marius Evers, Po-Yung Chang, Yale N. Patt Using Hybrid Branch Predictors to Improve Branch Prediction Accuracy in the Presence of Context Switches. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch prediction, speculative execution, superscalar, context switch
23Thomas W. Lynch, Ashraf Ahmed, Michael J. Schulte, Thomas K. Callaway, Robert Tisdale The K5 transcendental functions. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF K5 transcendental functions, AMD x86 compatible superscalar microprocessor, multi-level development cycle, design schedule, table-driven reductions, multiprecision arithmetic operations, encoding, polynomials, floating point arithmetic, microprocessor chips, approximation theory, polynomial approximations
23Aaron Goldberg, John A. Trotter Interrupt-based hardware support for profiling memory system performance. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF profiling memory system performance, superscalar technologies, Mprof prototype, data stall cycles, first level cache misses, Sun Sparc 10/41, performance evaluation, storage management, memory architecture, hardware support, sampling techniques, memory system performance
23Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
23Ching-Long Su, Alvin M. Despain Cache designs for energy efficiency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cache design techniques, superpipelined processors, cache energy consumption estimation, block buffering, cache sub-banking, Gray code addressing, instruction cache designs, consecutive accessing, energy efficiency, microprocessors, power consumption, energy conservation, data caches, cache storage, superscalar processors, Gray codes, energy reduction, CMOS memory circuits
23Siamak Arya, Howard Sachs, Sreeram Duvvuru An architecture for high instruction level parallelism. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high instruction level parallelism, sequential order, code execution, dataflow problems, condition bits, nonblocking cache, Software Scheduled SuperScalar, parallel programming, compiler, parallel architectures, parallel architecture, pipelining, program compilers, data flow analysis, software pipelining, pipeline processing, data flow, processor architecture, speculative execution, control flow, hardware support, program control structures, branches, registers, functional units, multiple instructions, conditional execution
23Po-Yung Chang, Eric Hao, Tse-Yu Yeh, Yale N. Patt Branch classification: a new mechanism for improving branch predictor performance. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF branch classification, speculative execution, superscalar, processor performance, branch predictor
23Manoj Franklin, Mark Smotherman A fill-unit approach to multiple instruction issue. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple operation issue, instruction-level parallelism, VLIW, superscalar
23M. Anton Ertl, Andreas Krall Delayed Exceptions - Speculative Execution of Trapping Instructions. Search on Bibsonomy CC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF instruction-level parallelism, software pipelining, exception, speculative execution, superscalar
17Jih-Ching Chiu, Yu-Liang Chou, Ding-Siang Su A hyperscalar multi-core architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmps, dynamic multi-core chips, reconfigurable multi-core architectures, chip multiprocessors
17Eduard Ayguadé, Rosa M. Badia, Francisco D. Igual, Jesús Labarta, Rafael Mayo 0002, Enrique S. Quintana-Ortí An Extension of the StarSs Programming Model for Platforms with Multiple GPUs. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous systems, programming models, graphics processors, Task-level parallelism
17Sandro Bartolini, Irina Branovic, Roberto Giorgi, Enrico Martinelli Effects of Instruction-Set Extensions on an Embedded Processor: A Case Study on Elliptic Curve Cryptography over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor/microcomputer applications, Performance Evaluation, Cryptography, Elliptic curves, Public key cryptosystems, Processor Architectures, Pipeline processors, Portable devices, Hardware/software interfaces, Instruction set design
17Jack Whitham, Neil C. Audsley Forming Virtual Traces for WCET Analysis and Reduction. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew, Tin-Fook Ngai Efficiency of thread-level speculation in SMT and CMP architectures - performance, power and thermal perspective. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Satyanarayana Nekkalapu, Haitham Akkary, Komal Jothi, Renjith Retnamma, Xiaoyu Song A simple latency tolerant processor. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chen-Yong Cher, Michael Gschwind Cell GC: using the cell synergistic processor as a garbage collection coprocessor. Search on Bibsonomy VEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BDW, SPU, explicitly managed memory hierarchies, local store, garbage collection, accelerator, SPE, coprocessor, cell, mark-sweep
17Ruchira Sasanka, Man-Lap Li, Sarita V. Adve, Yen-Kuang Chen, Eric Debes ALP: Efficient support for all levels of parallelism for complex media applications. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF media applications, multimedia, Parallelism, SIMD, vector, TLP, DLP, data-level parallelism
17Yongfeng Pan, Xiaoya Fan, Liqiang He, Deli Wang A Bypass Mechanism to Enhance Branch Predictor for SMT Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hans Vandierendonck, Philippe Manet, Thibault Delavallee, Igor Loiselle, Jean-Didier Legat By-passing the out-of-order execution pipeline to increase energy-efficiency. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction wake-up, energy-efficiency, instruction scheduling, out-of-order execution
17Neal A. Harman Algebraic Models of Simultaneous Multithreaded and Multi-core Processors. Search on Bibsonomy CALCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF many-sorted algebra, verification, microprocessors, correctness, threaded
17Simha Sethumadhavan, Franziska Roesner, Joel S. Emer, Doug Burger, Stephen W. Keckler Late-binding: enabling unordered load-store queues. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network flow control, memory disambiguation, late binding
17Luis Ceze, Karin Strauss, James Tuck 0001, Josep Torrellas, Jose Renau CAVA: Using checkpoint-assisted value prediction to hide L2 misses. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF checkpointed processor architectures, multiprocessor, memory hierarchies, Value prediction
17Olivier Rochecouste, Gilles Pokam, André Seznec A case for a complexity-effective, width-partitioned microarchitecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Power analysis
17Chengmo Yang, Alex Orailoglu Power-efficient instruction delivery through trace reuse. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processor, low-power design, instruction delivery
17Won Woo Ro, Jean-Luc Gaudiot Design and Effectiveness of Small-Sized Decoupled Dispatch Queues. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Rajesh Vivekanandham, Bharadwaj S. Amrutur, R. Govindarajan A scalable low power issue queue for large instruction window processors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity-effective architecture, wakeup logic, low-power architecture, issue logic
17In-Pyo Hong, Yong-Joo Lee, Yong-Surk Lee Next Generation Embedded Processor Architecture for Personal Information Devices. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mahim Mishra, Timothy J. Callahan, Tiberiu Chelcea, Girish Venkataramani, Seth Copen Goldstein, Mihai Budiu Tartan: evaluating spatial computation for whole program execution. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, asynchronous circuits, reconfigurable hardware, defect tolerance, spatial computation, dataflow machine
17James Laudon Performance/Watt: the new server focus. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Pramod Ramarao, Akhilesh Tyagi An Integrated Partitioning and Scheduling Based Branch Decoupling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Qing Zhao, David J. Lilja Static Classification of Value Predictability Using Compiler Hints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Greg Semeraro, David H. Albonesi, Grigorios Magklis, Michael L. Scott, Steven G. Dropsho, Sandhya Dwarkadas Hiding Synchronization Delays in a GALS Processor Microarchitecture. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kugan Vivekanandarajah, Thambipillai Srikanthan, Saurav Bhattacharyya Decode filter cache for energy efficient instruction cache hierarchy in super scalar architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Rama Sangireddy, Arun K. Somani Exploiting Quiescent States in Register Lifetime. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Leonid Oliker, Andrew Canning, Jonathan Carter, John Shalf, Stéphane Ethier Scientific Computations on Modern Parallel Vector Systems. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Leonid Oliker, Rupak Biswas, Julian Borrill, Andrew Canning, Jonathan Carter, M. Jahed Djomehri, Hongzhang Shan, David Skinner A Performance Evaluation of the Cray X1 for Scientific Applications. Search on Bibsonomy VECPAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Angshuman Parashar, Sudhanva Gurumurthi, Anand Sivasubramaniam A Complexity-Effective Approach to ALU Bandwidth Enhancement for Instruction-Level Temporal Redundancy. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Complexity-effective design, Temporal Redundancy, Instruction Reuse
17Rubén González 0001, Adrián Cristal, Daniel Ortega, Alexander V. Veidenbaum, Mateo Valero A Content Aware Integer Register File Organization. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP, migration, SMT, heat, power density
17Lucian Codrescu, S. Nugent, James D. Meindl, D. Scott Wills Modeling technology impact on cluster microprocessor performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dmitry V. Ponomarev, Gurhan Kucuk, Oguz Ergin, Kanad Ghose, Peter M. Kogge Energy-efficient issue queue design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jian Huang, David J. Lilja Balancing Reuse Opportunities and Performance Gains with Subblock Value Reuse. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Block reuse, subblock reuse, compiler flow analysis, value reuse, value locality
17Theo Ungerer, Borut Robic, Jurij Silc A survey of processors with explicit multithreading. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interleaved multithreading, simultaneous multithreading, Blocked multithreading
17Shuvendu K. Lahiri, Randal E. Bryant Deductive Verification of Advanced Out-of-Order Microprocessors. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Joydeep Ray, James C. Hoe High-level modeling and FPGA prototyping of microprocessors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF operation-centric, FPGA, evaluation, prototyping, microprocessor, microarchitecture
17Ho-Seop Kim, James E. Smith 0001 Dynamic Binary Translation for Accumulator-Oriented Architectures. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Pramod Ramarao, Akhilesh Tyagi An Adiabatic Framework for a Low Energy µ-Architecture & Compiler. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Paul Racunas, Yale N. Patt Partitioned first-level cache design for clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF partitioned cache, clustered microarchitecture
17Venkata Syam P. Rapaka, Diana Marculescu A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF issue window design, mixed-clock circuits, GALS
17Rama Sangireddy, Arun K. Somani Application-Specific Computing with Adaptive Register File Architectures. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Computing capacity, compute-intensive Function, Memory bandwidth, Register File
17Shih-Chang Lai, Shih-Lien Lu, Jih-Kwon Peir Ditto Processor. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Girish Venkataramani, Suraj Sudhir, Mihai Budiu, Seth Copen Goldstein Factors Influencing the Performance of a CPU-RFU Hybrid Architecture. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Dmitry Cheresiz, Ben H. H. Juurlink, Stamatis Vassiliadis, Harry A. G. Wijshoff Performance Scalability of Multimedia Instruction Set Extensions. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ho-Seop Kim, James E. Smith 0001 An Instruction Set and Microarchitecture for Instruction Level Distributed Processing. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Allan Hartstein, Thomas R. Puzak The Optimum Pipeline Depth for a Microprocessor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Joan-Manuel Parcerisa, Antonio González 0001 Improving Latency Tolerance of Multithreading through Decoupling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity
17Ramadass Nagarajan, Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler A design space evaluation of grid processor architectures. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Zhen Fang 0002, Lixin Zhang 0002, John B. Carter, Wilson C. Hsieh, Sally A. McKee Reevaluating Online Superpage Promotion with Hardware Support. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Stamatis Vassiliadis, Stephan Wong, Sorin Cotofana The MOLEN rho-mu-Coded Processor. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Mark N. Yankelevsky, Constantine D. Polychronopoulos alpha-coral: a multigrain, multithreaded processor architecture. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF processor archietecture, multithreaded, parallelizing compiler
17Shu-Lin Hwang, Feipei Lai Two Cache Lines Prediction for a Wide-Issue Micro-architecture. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Daniele Folegnani, Antonio González 0001 Energy-effective issue logic. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF adaptive hardware, low power, energy consumption, issue logic
17Jan Hoogerbrugge Dynamic Branch Prediction for a VLIW Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Yongwha Chung, K. Park, W. Hahn, Neungsoo Park, Viktor K. Prasanna Performance of On-Chip Multiprocessors for Vision Tasks. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17James E. Smith 0001 Instruction Level Distributed Processing: Adapting to Future Technology. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Zhi Alex Ye, Andreas Moshovos, Scott Hauck, Prithviraj Banerjee CHIMAERA: a high-performance architecture with a tightly-coupled reconfigurable functional unit. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license