The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Vinoo Srinivasan, Ranga Vemuri Task-Level Partitioning and RTL Design Space Exploration for Multi-FPGA Architectures. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Alessandro Bogliolo, Roberto Corgnati, Enrico Macii, Massimo Poncino Parameterized RTL power models for combinational soft macros. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Chien-Nan Jimmy Liu, Jing-Yang Jou An Efficient Functional Coverage Test for HDL Descriptions at RTL. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF verification, coverage, FSM, HDL
23P. P. Jain Cost-effective co-verification using RTL-accurate C models. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Roberto Corgnati, Enrico Macii, Massimo Poncino Clustered Table-Based Macromodels for RTL Power Estimation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Alessandro Bogliolo, Luca Benini Robust RTL power macromodels. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23A. R. Naseer, M. Balakrishnan, Anshul Kumar Direct mapping of RTL structures onto LUT-based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Yiorgos Makris, Alex Orailoglu DFT guidance through RTL test justification and propagation analysis. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Min Xu, Fadi J. Kurdahi RTL synthesis with physical and controller information. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Kaushik Roy 0001, Jacob A. Abraham A Novel Approach to Accurate Timing Verification Using RTL Descriptions. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Per Karlström, Wenbiao Zhou, Dake Liu Operation Classification for Control Path Synthetization with NoGAP. Search on Bibsonomy ITNG The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Control path, CAD, Pipelining, ADL, ASIP, RTL
17Ramsey Hourani, Ravi Jenkal, W. Rhett Davis, Winser E. Alexander Automated Design Space Exploration for DSP Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI, Synthesis, Throughput, DSP, RTL, FIR filter, Hardware design, Power dissipation, Area
17David Slogsnat, Alexander Giese, Mondrian Nüssle, Ulrich Brüning 0001 An open-source HyperTransport core. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF HTX, HyperTransport, FPGA, prototyping, RTL
17Vicente Galiano Ibarra, Héctor Migallón Gomis, David Pérez-Caparrós, Juan Alejandro Palomino Benito, Marcos Martínez Speeding Up in Distributed SystemC Simulations. Search on Bibsonomy DCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MPI, SoC, Distributed Simulation, SystemC, RTL
17David Slogsnat, Alexander Giese, Ulrich Brüning 0001 A versatile, low latency HyperTransport core. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HTX, HyperTransport, FPGA, prototyping, RTL
17Anoosh Hosseini, Ashish Parikh, H. T. Chin, Pascal Urard, Emil F. Girczyc, S. Bloch Building a standard ESL design and verification methodology: is it just a dream? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling rapid hardware prototyping, design, verification, methodology, systemC, RTL, ESL, C/C++, systemVerilog
17Chris Rowen, Steve Leibson Flexible architectures for engineering successful SOCs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor cores, MPSOC, RISC, RTL, SOC
17Rachid Ben Abbou, Amine Benkiran, Jean-Pierre Courtiat Formal Validation of a Multicast Transport Protocol. Search on Bibsonomy ISCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF SPRM protocol, Reliability, Formal methods, Multicast, RTL, LOTOS, RT-LOTOS, Protocol validation
16Hong-Zu Chou, Kai-Hui Chang, Sy-Yen Kuo Handling don't-care conditions in high-level synthesis and application for reducing initialized registers. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RTL symbolic simulation, don't-care (DC), synthesis
16Régis Leveugle Early Analysis of Fault-based Attack Effects in Secure Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RTL dependability evaluation, security validation, fault models, fault injection, fault attacks
16Naghmeh Karimi, Shahrzad Mirkhani, Zainalabedin Navabi, Fabrizio Lombardi RT level reliability enhancement by constructing dynamic TMRS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerant, reliability, TMR, RTL design
16Cyrille Chavet, Philippe Coussy, Pascal Urard, Eric Martin 0001 A design methodology for space-time adapter. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF communication and interface synthesis, digital signal processing and multimedia applications, RTL design
16Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Application-specific customization of soft processor microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, FPGA, customization, embedded processor, ASIP, microarchitecture, application specific, soft processor
16Peter J. Osler Placement driven synthesis case studies on two sets of two chips: hierarchical and flat. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synthesis, placement, application specific integrated circuit (ASIC), register transfer level (RTL), static timing analysis (STA), netlist
16Michiko Inoue, Kazuhiro Suzuki, Hiroyuki Okamoto, Hideo Fujiwara Test Synthesis for Datapaths Using Datapath-Controller Functions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hierarchical test generation, non-scan design, design-for-testability, at-speed testing, RTL circuit
16Dipankar Sarkar 0001 Register Transfer Operation Analysis during Data Path Verification. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Sequential Circuit Verification, Control Part - Data Path, Data Path Verification, RTL Behaviours
16Alessandro Bogliolo, Luca Benini, Giovanni De Micheli Characterization-Free Behavioral Power Modeling. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Modeling, Power consumption, RTL Simulation
16Jiing-Yuan Lin, Wen-Zen Shen, Jing-Yang Jou A power modeling and characterization method for macrocells using structure information. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Power modeling for macrocells, RTL power estimations, State transition graph and Power characterization
16X. Wendling, H. Chauvet, Lionel Revéret, Raphaël Rochet, Régis Leveugle Automatic and Optimized Synthesis of Dataparts with Fault Detection or Tolerance Capabilities. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RTL synthesis, dependable VLSI circuits, fault tolerance, fault detection, CAD tools
16Harold Hoehne, Robert Piloty Design Verification at the Register Transfer Language Level. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Compiler-interpreter system, computer description language, hardware design automation, register transfer language (RTL), simulation, error detection, design verification
16Kan Huang, Junlin Lu, Jiufeng Pang, Yansong Zheng, Hao Li, Dong Tong 0001, Xu Cheng 0001 FPGA prototyping of an amba-based windows-compatible SoC. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, microsoft windows, amba, x86
16Arnab Sinha, Pallab Dasgupta, Bhaskar Pal, Sayantan Das 0001, Prasenjit Basu, P. P. Chakrabarti 0001 Design intent coverage revisited. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Design Intent Coverage
16Joachim Keinert, Martin Streubühr, Thomas Schlichter, Joachim Falk, Jens Gladigau, Christian Haubelt, Jürgen Teich, Michael Meredith SystemCoDesigner - an automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF System design, hardware/software codesign
16Farhat Thabet, Philippe Coussy, Dominique Heller, Eric Martin 0001 Exploration and Rapid Prototyping of DSP Applications using SystemC Behavioral Simulation and High-level Synthesis. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Prototyping, Refinement, High-level synthesis, Design space exploration, System level design
16Feng Ge, Pranjal Jain, Ken Choi Ultra-low power and high speed design and implementation of AES and SHA1 hardware cores in 65 nanometer CMOS technology. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Madhu Saravana Sibi Govindan, Stephen W. Keckler, Doug Burger End-to-end validation of architectural power models. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architectural power models, measurement, validation
16Jason Cong, Bin Liu 0006, Zhiru Zhang Behavior-level observability don't-cares and application to low-power behavioral synthesis. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, observability, behavioral synthesis
16Alon Gluska, Lior Libis Shortening the verification cycle with synthesizable abstract models. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF verification, logic design, abstract modeling
16Dave Whipp Exploiting "architecture for verification" to streamline the verification process. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF verification, executable specification, ESL
16Kingshuk Karuri, Anupam Chattopadhyay, Xiaolin Chen, David Kammler, Ling Hao, Rainer Leupers, Heinrich Meyr, Gerd Ascheid A Design Flow for Architecture Exploration and Implementation of Partially Reconfigurable Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Nikolaos Kavvadias, Spiridon Nikolaidis 0001 Elimination of Overhead Operations in Complex Loop Structures for Embedded Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optimization, Microprocessors, Hardware description languages, Real-time and embedded systems, Pipeline processors, Control design
16Henry Wong, Anne Bracy, Ethan Schuchman, Tor M. Aamodt, Jamison D. Collins, Perry H. Wang, Gautham N. Chinya, Ankur Khandelwal Groen, Hong Jiang, Hong Wang 0003 Pangaea: a tightly-coupled IA32 heterogeneous chip multiprocessor. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ia32, on-chip integration, chip multiprocessor, heterogeneous
16Jörn W. Janneck, Ian D. Miller, David B. Parlour, Ghislain Roquier, Matthieu Wipliez, Mickaël Raulet Synthesizing hardware from dataflow programs: An MPEG-4 simple profile decoder case study. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Sharad Malik Hardware Verification: Techniques, Methodology and Solutions. Search on Bibsonomy TACAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ravi Surepeddi System Verilog for Quality of Results (QoR). Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System Verilog Design Quality Results
16C. Richard Ho, Michael Theobald, Martin M. Deneroff, Ron O. Dror, Joseph Gagliardo, David E. Shaw Early formal verification of conditional coverage points to identify intrinsically hard-to-verify logic. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF conditional coverage, inconclusive results, formal verification, code coverage, verifiability, coverage hole
16Chung-Ho Chen, Chih-Kai Wei, Tai-Hua Lu, Hsun-Wei Gao Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Gaurav Mittal, David Zaretsky, Xiaoyong Tang, Prithviraj Banerjee An Overview of a Compiler for Mapping Software Binaries to Hardware. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yogesh S. Mahajan, Carven Chan, Ali Alphan Bayazit, Sharad Malik, Wei Qin Verification Driven Formal Architecture and Microarchitecture Modeling. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Panagiotis Manolios, Sudarshan K. Srinivasan, Daron Vroon 0001 BAT: The Bit-Level Analysis Tool. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Mark H. Nodine Automatic Testbench Generation for Rearchitected Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Alisson Vasconcelos de Brito, Matthias Kühnle, Michael Hübner 0001, Jürgen Becker 0001, Elmar U. K. Melcher Modelling and Simulation of Dynamic and Partially Reconfigurable Systems using SystemC. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yi Feng 0003, Zheng Zhou, Dong Tong 0001, Xu Cheng 0001 Clock domain crossing fault model and coverage metric for validation of SoC design. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung On the feasibility of early routing capacitance estimation for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Gearoid Murphy, Conor Ryan Seeding methods for run transferable libraries. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF schema theory, module acquisition
16Shin-Kai Chen, Bing-Shiun Wang, Tay-Jyi Lin, Chih-Wei Liu Rapid C to FPGA Prototyping with Multithreaded Emulation Engine. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Lilian Janin, Doug Edwards CSP Transactors for Asynchronous Transaction Level Modeling and IP Reuse. Search on Bibsonomy ICCSA (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yogesh S. Mahajan, Sharad Malik Automating Hazard Checking in Transaction-Level Microarchitecture Models. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jacob A. Abraham, Daniel G. Saab Tutorial T4A: Formal Verification Techniques and Tools for Complex Designs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Shobha Vasudevan, Vinod Viswanath, Jacob A. Abraham Efficient Microprocessor Verification using Antecedent Conditioned Slicing. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Behzad Akbarpour, Sofiène Tahar An approach for the formal verification of DSP designs using Theorem proving. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Hassan Al-Sukhni, David Lindberg, James Holt, Michele Reese Workload Slicing for Characterizing New Features in High Performance Microprocessors. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ali Habibi, Sofiène Tahar, Amer Samarah, Donglin Li, Otmane Aït Mohamed Efficient assertion based verification using TLM. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Tomas Pecenka, Josef Strnadel, Zdenek Kotásek, Lukás Sekanina Testability Estimation Based on Controllability and Observability Parameters. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jaan Raik, Raimund Ubar, Taavi Viilukas High-Level Decision Diagram based Fault Models for Targeting FSMs. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ari Kulmala, Timo D. Hämäläinen, Marko Hännikäinen Reliable GALS Implementation of MPEG-4 Encoder with Mixed Clock FIFO on Standard FPGA. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shujun Deng, Weimin Wu, Jinian Bian Bounded Model Checking Combining Symbolic Trajectory Evaluation Abstraction with Hybrid Three-Valued SAT Solving. Search on Bibsonomy CSCWD (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shujun Deng, Weimin Wu, Jinian Bian Cooperative Bounded Model Checking Using STE and Hybrid Three-Valued SAT Solving. Search on Bibsonomy CSCWD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Bita Gorjiara, Mehrdad Reshadi, Pramod Chandraiah, Daniel Gajski Generic netlist representation for system and PE level design exploration. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GNR, NISC, modeling, synthesis, system design, architecture description language, application-specific processor
16Anna Slobodová Challenges for Formal Verification in Industrial Setting. Search on Bibsonomy FMICS/PDMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Stefan Andrei, Albert Mo Kim Cheng Optimization of Real-Time Systems Timing Specifications. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optimization, formal method, timing constraint
16Donglin Li, Otmane Aït Mohamed MDG-Based Verification of the Look-Aside Interface. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Namrata Shekhar, Priyank Kalla, M. Brandon Meredith, Florian Enescu Simulation Bounds for Equivalence Verification of Arithmetic Datapaths with Finite Word-Length Operands. Search on Bibsonomy FMCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Loganathan Lingappan, Niraj K. Jha Improving the Performance of Automatic Sequential Test Generation by Targeting Hard-to-Test Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Philippe Georgelin, Venkat Krishnaswamy Towards a C++-based design methodology facilitating sequential equivalence checking. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling methodology, sequential equivalence checking
16Guy Dupenloup, Thierry Lemeunier, Roland Mayr Transistor abstraction for the functional verification of FPGAs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cone model, logic equivalence checking, transistor abstraction, FPGA, register transfer level, multiplexer, functional verification
16Soha Hassoun, Murali Kudlugi, Duaine Pryor, Charles Selvidge A transaction-based unified architecture for simulation and emulation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Chao Huang, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Generation of distributed logic-memory architectures through high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Patrick Schaumont, Sandeep K. Shukla, Ingrid Verbauwhede Extended abstract: a race-free hardware modeling language. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Diana Toma, Dominique Borrione Formal Verification of a SHA-1 Circuit Core Using ACL2. Search on Bibsonomy TPHOLs The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Tun Li, Yang Guo 0003, GongJie Liu, Sikun Li Functional Vectors Generation for RT-Level Verilog Descriptions Based on Path Enumeration and Constraint Logic Programming. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ho Fai Ko, Qiang Xu 0001, Nicola Nicolici Register-transfer level functional scan for hierarchical designs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16K. Uday Bhaskar, M. Prasanth, V. Kamakoti 0001, Kailasnath Maneparambil A Framework for Automatic Assembly Program Generator (A2PG) for Verification and Testing of Processor Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Nick Savoiu MTP: A Petri Net-Based Framework for the Analysis and Transformation of SystemC Designs. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16John Sanguinetti High level design: the future is now. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Alexander B. Smirnov, Alexander Taubin, Ming Su, Mark G. Karpovsky An Automated Fine-Grain Pipelining Using Domino Style Asynchronous Library. Search on Bibsonomy ACSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous EDA, QDI, synthesis, ASIC, HDL
16Adrian Chirila-Rus, Kristof Denolf, Bart Vanhoof, Paul R. Schumacher, Kees A. Vissers Communication Primitives Driven Hardware Design and Test Methodology Applied on Complex Video Applications. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Jorgen Peddersen, Seng Lin Shee, Andhi Janapsatya, Sri Parameswaran Rapid Embedded Hardware/Software System Generation. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16R. Gopalakrishnan, Rajat Moona Variable Resizing for Area Improvement in Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ivan Blunno, Luciano Lavagno Designing an asynchronous microcontroller using Pipefitter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ludovic Apvrille, Pierre de Saqui-Sannes, Patrick Sénac, Christophe Lohr Verifying Service Continuity in a Dynamic Reconfiguration Procedure: Application to a Satellite System. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time UML, dynamic reconfiguration, satellite, formal validation, RT-LOTOS
16Yunsi Fei, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha A hybrid energy-estimation technique for extensible processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ganesh Lakshminarayana, Anand Raghunathan, Kamal S. Khouri, Niraj K. Jha, Sujit Dey Common-case computation: a high-level energy and performance optimization technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Stelian Alupoaei, Srinivas Katkoori Net Clustering Based Constructive and Iterative Improvement Approaches for Macro-Cell Placement. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net clustering, macro-cell placement, cluster growth, wirelength optimization, simulated annealing
16A. Bernstein, M. Burton, Frank Ghenassia How to bridge the abstraction gap in system level modeling and design. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Michele Favalli Annotated Bit Flip Fault Model. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Prabhat Mishra 0001, Arun Kejariwal, Nikil D. Dutt Synthesis-driven Exploration of Pipelined Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ashwin K. Kumaraswamy, Ahmet T. Erdogan, Indrajit Atluri Development of Timing Driven IP Design Flow based on Physical Knowledge Synthesis. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Prabhat Mishra 0001, Nikil D. Dutt A Methodology for Validation of Microprocessors using Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Prithviraj Banerjee, Vikram Saxena, Juan Ramon Uribe, Malay Haldar, Anshuman Nayak, Victor Kim, Debabrata Bagchi, Satrajit Pal, Nikhil Tripathi, Robert Anderson Making area-performance tradeoffs at the high level using the AccelFPGA compiler for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license