The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin Ultra Low Cost Analog BIST Using Spectral Analysis. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Erik Lauwers, Georges G. E. Gielen Power estimation methods for analog circuits for architectural exploration of integrated systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Geert Van der Plas, Jan Vandenbussche, Georges G. E. Gielen, Willy M. C. Sansen A layout synthesis methodology for array-type analog blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Nam Phamdo, Udar Mittal A joint source-channel speech coder using hybrid digital-analog (HDA) modulation. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Walter Hartong, Lars Hedrich, Erich Barke On Discrete Modeling and Model Checking for Nonlinear Analog Systems. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Ralf Sommer, Irmtraud Rugen-Herzig, Eckhard Hennig, Umberto Gatti, Piero Malcovati, Franco Maloberti, Karsten Einwich, Christoph Clauß, Peter Schwarz, G. Noessing From System Specification To Layout: Seamless Top-Down Design Methods for Analog and Mixed-Signal Applications. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Tyson S. Hall, Paul E. Hasler, David V. Anderson Field-Programmable Analog Arrays: A Floating-Gate Approach. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27G. Mulliken, Farhan Adil, Gert Cauwenberghs, Roman Genov Delta-sigma algorithmic analog-to-digital conversion. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Albert H. Titus, Anand Gopalan A differential summing amplifier for analog VLSI systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Anthony Chan Carusone, David A. Johns Analog filter adaptation using a dithered linear search algorithm. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Bernhard Burdiek The qualitative form of optimum transient test signals for analog circuits derived from control theory methods. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Sule Ozev, Alex Orailoglu An Integrated Tool for Analog Test Generation and Fault Simulation. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Swarup Bhunia, Kaushik Roy 0001 Dynamic Supply Current Testing of Analog Circuits Using Wavelet Transform. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Zhen Guo, Jacob Savir Observer-Based Test of Analog Linear Time-Invariant Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Hajime Shibata, Soji Mori, Nobuo Fujii Automated Design of Analog Circuits Using Cell-Based Structure . Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Florin Balasa Device-level placement for analog layout: an opportunity for non-slicing topological representations. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Sree Ganesan, Ranga Vemuri Analog-Digital Partitioning for Field-Programmable Mixed Signal Systems. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Akira Matsuzawa High Quality Analog CMOS and Mixed Signal LSI Design. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Edward Ramsden The Isppac Family Of Reconfigurable Analog Circuits. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Pramodchandran N. Variyam, Abhijit Chatterjee Specification-driven test generation for analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Florin Balasa, Koen Lampaert Symmetry within the sequence-pair representation in the context ofplacement for analog design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Luigi Carro, Adão Antônio de Souza Jr., Marcelo Negreiros, Gabriel Parmegiani Jahn, Denis Teixeira Franco Non-Linear Components for Mixed Circuits Analog Front-End. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Piet Wambacq, Petr Dobrovolný, Stéphane Donnay, Marc Engels, Ivo Bolsens Compact Modeling of Nonlinear Distortion in Analog Communication Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Junwei Hou, Abhijit Chatterjee Analog Transient Concurrent Fault Simulation with Dynamic Fault Grouping. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Yasuhiro Ota, Bogdan M. Wilamowski Analog implementation of pulse-coupled neural networks. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Xiang-Dong Tan, C.-J. Richard Shi Balanced Multi-Level Multi-Way Partitioning of Large Analog Circuits for Hierarchical Symbolic Analysis. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Ricardo Salem Zebulum, Marco Aurélio Cavalcanti Pacheco, Marley M. B. R. Vellasco Analog Circuits Evolution in Extrinsic and Intrinsic Modes. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Giorgio Casinovi, Jeen-Mo Yang Multi-level simulation of large analog systems containing behavioral models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27D. L. Grundy A computational approach to VLSI analog design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Naveena Nagi, Abhijit Chatterjee, Jacob A. Abraham Fault simulation of linear analog circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27John G. Harris, Christof Koch, Erik Staats, Jin Luo Analog hardware for detecting discontinuities in early vision. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Jorge Oliveros, Dwight Cabrera, Elkim Roa, Wilhelmus A. M. Van Noije An improved and automated design tool for the optimization of CMOS OTAs using geometric programming. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF OTA design, analog CAD, analog circuit optimization, design methodologies, geometric programming
26Sunil Rafeeque, Vinita Vasudevan A Built-in-Self-Test Scheme for Segmented and Binary Weighted DACs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital to analog converters, nonlinearity test, analog testing, mixed-signal BIST
26Hui-Chin Tseng, Hsin-Hung Ou, Chi-Sheng Lin, Bin-Da Liu A low-power rail-to-rail 6-bit flash ADC based on a novel complementary average-value approach. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMOS analog circuit, flash analog-to-digital converter, rail-to-rail, low power, comparator
26Hesam Amir Aslanzadeh, Saeid Mehrmanesh, Mohammad B. Vahidfar, Amin Quasem Safarian, Reza Lotfi A 1-V 1-mW high-speed class AB operational amplifier for high-speed low power pipelined A/D converters using "Slew Boost" technique. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS analog circuit, Slew Boost technique, class AB, low power, high speed, operational amplifier, pipelined analog to digital converter, ultra low voltage
26Iasson Vassiliou, Henry Chang, Alper Demir 0001, Edoardo Charbon, Paolo Miliozzi, Alberto L. Sangiovanni-Vincentelli A video driver system designed using a top-down, constraint-driven methodology. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Analog CAD, Video Driver System Chips, Analog Behavioral Modeling, Design Methodologies
25Geoffrey Ying, Andreas Kuehlmann, Kenneth S. Kundert, Georges G. E. Gielen, Eric Grimme, Martin O'Leary, Sandeep Tare, Warren Wong Guess, solder, measure, repeat: how do I get my mixed-signal chip right? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Verilog-AMS, analog behavioral modeling, low power verification, mixed-signal verification, VHDL, SPICE, functional verification, Verilog, performance verification
25Rafail Lashevsky, Yohey Sato Deviation-tolerant floating gate structures as a way to design an on-chip learning neural networks. Search on Bibsonomy Soft Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ?MOS transistor, Analog hardware implementation, Deviation tolerance, Artificial neural networks
25Sebastian Steinhorst, Lars Hedrich Model Checking of Analog Systems using an Analog Specification Language. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Olivier Bournez, Manuel Lameiras Campagnolo, Daniel Silva Graça, Emmanuel Hainry The General Purpose Analog Computer and Computable Analysis are Two Equivalent Paradigms of Analog Computation. Search on Bibsonomy TAMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25David W. Parent, Eric J. Basham, Shao Ng, Paul B. Weil An Analog Leaf Cell for Analog Circuit Design. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Tiago R. Balen, Antonio Andrade Jr., Florence Azaïs, Michel Renovell, Marcelo Lubaszewski Testing the Configurable Analog Blocks of Field Programmable Analog Arrays. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Rosa Rodríguez-Montañés, D. Muñoz, Luz Balado, Joan Figueras Analog Switches in Programmable Analog Devices: Quiescent Defective Behaviours. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Karim Arabi, Bozena Kaminska, Janusz Rzeszut A new built-in self-test approach for digital-to-analog and analog-to-digital converters. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Ryan W. Robucci, Leung Kin Chiu, Jordan D. Gray, Justin K. Romberg, Paul E. Hasler, David V. Anderson Compressive sensing on a CMOS separable transform image sensor. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Martin Hasler, Gernot Kubin Mixed-domain system representation using Volterra series. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Nathaniel J. August A Robust and Efficient Pre-Silicon Validation Environment for Mixed-Signal Circuits on Intel's Test Chips. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pre-silicon, validation, mixed-signal
24Gonzalo Pajares A Hopfield Neural Network for Image Change Detection. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Amol Y. Deshmukh, Preeti R. Bajaj, Avinash G. Keskar Hardware Implementation of Fuzzy Controllers-Approach and Constraints. Search on Bibsonomy KES (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Peter R. Snoeren, Nico Karssemeijer Gray Scale Registration of Mammograms Using a Model of Image Acquisition. Search on Bibsonomy IPMI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Liyi Xiao, Bin Li, Yizheng Ye, Guoyong Huang, JinJun Guo, Peng Zhang A mixed-signal simulator for VHDL-AMS. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Junwei Hou, William H. Kao, Abhijit Chatterjee A novel concurrent fault simulation method for mixed-signal circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Robert W. Brodersen System-on-a-Chip VLSI - Is It Finally Really Here? Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Gordon W. Roberts Metrics, techniques and recent developments in mixed-signal testing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF manufacturing environment, measurement setups, quality, mixed-signal testing, mixed analogue-digital integrated circuits, manufacturing defects, product cost
24Chen-Yang Pan, Kwang-Ting Cheng Pseudo-random testing and signature analysis for mixed-signal circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Signature Analysis, Random Process, Pseudo-Random Testing, Impulse Response
24Josef Heinhold Die Anwendung des Analogrechners in der Unternehmensforschung. Search on Bibsonomy Computing The full citation details ... 1966 DBLP  DOI  BibTeX  RDF
23Michael Eick, Martin Strasser, Helmut E. Graeb, Ulf Schlichtmann Automatic generation of hierarchical placement rules for analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hierarchical placement rules, constraints, placement, analog integrated circuits
23Rob A. Rutenbar Analog layout synthesis: what's missing? Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF synthesis, layout, analog
23Cheng-Wu Lin, Jai-Ming Lin, Chun-Po Huang, Soon-Jyh Chang Performance-driven analog placement considering boundary constraint. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog placement, boundary constraint, symmetry
23Jernej Olensek, Árpád Bürmen, Janez Puhan, Tadej Tuma DESA: a new hybrid global optimization method and its application to analog integrated circuit sizing. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Analog integrated circuit sizing, Optimization, Simulated annealing, Differential evolution
23Jérôme Durand-Lose Abstract geometrical computation 3: black holes for classical and analog computing. Search on Bibsonomy Nat. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Black hole model, Malament-Hogarth space-time, Hyper-computing, Zeno phenomenon, Analog computation, Arithmetic hierarchy, Abstract geometrical computation
23Yukiya Miura, Jiro Kato Adaptive Fault Diagnosis of Analog Circuits by Operation-Region Model and X - Y Zoning Method. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MOS transistors, Fault diagnosis, Analog circuits, Adaptive test
23Olga Goussevskaia, Roger Wattenhofer Complexity of scheduling with analog network coding. Search on Bibsonomy FOWANC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF analog network coding, geometric sinr, physical interference model, scheduling, complexity, np-complete, wireless ad-hoc networks
23Shukai Duan, Lidan Wang 0001 Circuitry Analog and Synchronization of Hyperchaotic Neuron Model. Search on Bibsonomy ISNN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chaotic neuron model, circuitry analog, chaos synchronization, adaptive chaos synchronization
23Gabriel Oltean, Sorin Hintea, Emilia Sipos Computational Intelligence in Analog Circuits Design. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF genetic algorithm, optimization, fuzzy sets, neuro-fuzzy systems, analog circuit design
23Kazuki Akutagawa, Kazuya Machida, Takao Waho A 3/7-Level Mixed-Mode Algorithmic Analog-to-Digital Converter. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF algorithmic, redundancy, analog-to-digital converter, multiple-valued
23Shubhankar Basu, Balaji Kommineni, Ranga Vemuri Variation Aware Spline Center and Range Modeling for Analog Circuit Performance. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Center and Range, Process Variation, Analog, Spline
23Laurent Gatet, Hélène Tap-Béteille, Daniel Roviras, Francis Gizard Integrated CMOS Analog Neural Network Ability to Linearize the Distorted Characteristic of HPA Embedded in Satellites. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS Analog Integrated Circuits, Nonlinear Distortion, Predistorsion, Multi-Layer Perceptrons, Neural Network Architecture
23Daeik D. Kim, Choongyeun Cho, Jonghae Kim Analog parallelism in ring-based VCOs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF analog parallelism, clock period jitter, process-induced variation, ring-based voltage-controlled oscillator, microprocessor, phase-locked loop, phase noise
23M. A. El-Gamal, M. D. A. Mohamed Ensembles of Neural Networks for Fault Diagnosis in Analog Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault simulation, boosting, ensemble learning, analog circuits, bagging, fault classification
23Jiayi Liu, Sheqin Dong, Yuchun Ma, Di Long, Xianlong Hong Thermal-driven Symmetry Constraint for Analog Layout with CBL Representation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal-optimal placement, thermal-driven symmetry constraint, analog layout, thermal constraint, hot-spot effect, temperature gradient, symmetrical devices, placement process, geometric symmetry, corner block list, thermal model
23Min Jiang, Zhenkun Yang, Zhaohui Gan Optimal Components Selection for Analog Active Filters Using Clonal Selection Algorithms. Search on Bibsonomy ICIC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Analog active filter, Butterworth approximation, Clonal selection algorithms, Components selection
23Yaser M. A. Khalifa, Badar K. Khan, Faisal Taha Multi-objective optimization tool for a free structure analog circuits design using genetic algorithms and incorporating parasitics. Search on Bibsonomy GECCO (Companion) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF genetic algorithms, optimization, analog circuits
23Nuno C. Lourenço, Nuno C. G. Horta Automatic analog IC layout generation based on a evolutionary computation approach. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog ICs, layout generation, evolutionary computation
23Burcu Erkmen, Tülay Yildirim CSFNN Synapse and Neuron Design Using Current Mode Analog Circuitry. Search on Bibsonomy KES (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Conic Section Function Neural Networks, Current Mode Analog Design, Neuron and Synapse Circuitry
23Manuel F. M. Barros, Jorge Guilherme, Nuno Horta GA-SVM feasibility model and optimization kernel applied to analog IC design automation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog integrated circuit synthesis, genetic algorithms, support vector machines
23Catherine Lai, Ichiro Fujinaga Metadata data dictionary for analog sound recordings. Search on Bibsonomy JCDL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog sound recordings, metadata, digitization, preservation
23Alessandro Girardi, Sergio Bampi Power constrained design optimization of analog circuits based on physical gm/ID characteristics. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulated annealing, synthesis, analog design
23Shinichi Kouda, Chikaaki Kodama, Kunihiro Fujiyoshi Improved method of cell placement with symmetry constraints for analog IC layout design. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetry constraints, linear programming, placement, analog circuits, sequence-pair
23Rob A. Rutenbar Design automation for analog: the next generation of tool challenges. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computer-aided design, synthesis, analog, integrated circuits, mixed-signal
23Ying Wei 0002, Alex Doboli Systematic development of nonlinear analog circuit macromodels through successive operator composition and nonlinear model decoupling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nonlinear macromodel, structural macromodel, analog circuits
23Florence Azaïs, Marcelo Lubaszewski, Pascal Nouet, Michel Renovell A Strategy for Optimal Test Point Insertion in Analog Cascaded Filters. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testability evaluation, design-for-test, analog and mixed-signal testing
23Soumendu Bhattacharya, Abhijit Chatterjee Optimized wafer-probe and assembled package test design for analog circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Assembled package, co-optimization, test cost minimization, test generation and co-optimization, wafer-probe, simulation, test, prototype, analog and mixed-signal test
23Catherine Lai, Ichiro Fujinaga, Cynthia A. Leive Metadata for phonograph records: facilitating new forms of use and access to analog sound recordings. Search on Bibsonomy JCDL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog sound recordings, metadata, digitization, preservation
23Lars A. Schreiner, Markus Olbrich, Erich Barke, Volker Meyer zu Bexten Routing of analog busses with parasitic symmetry. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog routing, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout
23Jianjun Hu, Xiwei Zhong, Erik D. Goodman Open-ended robust design of analog filters using genetic programming. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog filter synthesis, genetic programming, automated design, robust design, bond graphs
23Anuradha Agarwal, Glenn Wolfe, Ranga Vemuri Accuracy driven performance macromodeling of feasible regions during synthesis of analog circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance modeling, circuit sizing, analog synthesis
23Henry H. Y. Chan, Zeljko Zilic Modeling Layout Effects for Sensitivity-Based Analog Circuit Optimization. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Analog circuit optimization, adjoint analysis, sensitivity analysis, parasitic extraction
23Ying Wei 0002, Alex Doboli Systematic development of analog circuit structural macromodels through behavioral model decoupling. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF structural macromodel, analog circuits
23Barbara Cannas, Alessandra Fanni, Stefano Manetti, Augusto Montisci, Maria Cristina Piccirilli Neural network-based analog fault diagnosis using testability analysis. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Neural networks, Diagnosis, Analog circuits, Testability analysis
23Carlos Eduardo Savioli, Claudio C. Czendrodi, José Vicente Calvano, Antonio Carneiro de Mesquita Filho ATPG for fault diagnosis on analog electrical networks using evolutionary techniques. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genetic algorithms, automatic test pattern generation, fault models, analog and mixed-signal test
23Hongjoong Shin, Hak-soo Yu, Jacob A. Abraham LFSR-based BIST for analog circuits using slope detection. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF slope detection, BIST, LFSR, analog testing, mixed-signal testing
23Zhen Guo How to reduce aliasing in linear analog testing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault detection, aliasing, analog testing, parametric faults
23Chih-Jen Yen, Mely Chen Chi, Wen-Yaw Chung, Shing-Hao Lee A 0.75-mW analog processor IC for wireless biosignal monitor. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF analog processor, biosignal monitor, wireless, IC
23Jincheol Yoo, Kyusun Choi, Jahan Ghaznavi CMOS flash analog-to-digital converter for high speed and low voltage applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF TIQ comparator, fat tree encoder, flash ADC, analog-to-digital converter, low voltage, high speed
23Guido Stehr, Helmut E. Graeb, Kurt Antreich Performance trade-off analysis of analog circuits by normal-boundary intersection. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF normal-boundary intersection, performance space exploration, topology selection, analog circuits, Pareto optimality, trade-off analysis
23Soon-Jyh Chang, Chung-Len Lee 0001, Jwu E. Chen Structural Fault Based Specification Reduction for Testing Analog Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF specification-based test, analog test, fault-based test, test cost reduction
23Simon C. Li, Jimmy C. Cha ±0.5V ±1.5V VHF CMOS LV/LP four-quadrant analog multiplier in modified bridged-triode scheme. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF modified bridged-triode scheme (MBTS), analog multiplier
23Takayuki Sugawara, Yoshikazu Miyanaga, Norinobu Yoshida A Design of Analog C-Matrix Circuits Used for Signal/Data Processing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Signal Processing, Analog Circuit
23Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, Masahiro Kawakita Explicit Expression and Simultaneous Optimization of Placement and Routing for Analog IC Layouts. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed signal design, shape-based layout, placement, analog design, sequence-pair
Displaying result #301 - #400 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license