|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1030 occurrences of 542 keywords
|
|
|
Results
Found 1492 publication records. Showing 1492 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | P. C. Shah, Hosaker N. Mahabala |
A New Compaction Scheme Based on Compression Ridges. |
DAC |
1987 |
DBLP DOI BibTeX RDF |
|
23 | Clyde W. Carpenter, Mark Horowitz |
Generating Incremental VLSI Compaction Spacing Constraints. |
DAC |
1987 |
DBLP DOI BibTeX RDF |
|
23 | Hyunchul Shin, Alberto L. Sangiovanni-Vincentelli, Carlo H. Séquin |
Two-dimensional compaction by "zone refining". |
DAC |
1986 |
DBLP DOI BibTeX RDF |
|
23 | Dwight D. Hill, John P. Fishburn, Mary Diane Palmer Leland |
Effective use of virtual grid compaction in macro-module generators. |
DAC |
1985 |
DBLP DOI BibTeX RDF |
|
23 | David S. Wise |
Morris's Garbage Compaction Algorithm Restores Reference Counts. |
ACM Trans. Program. Lang. Syst. |
1979 |
DBLP DOI BibTeX RDF |
|
22 | Ali Dasdan |
Provably efficient algorithms for resolving temporal and spatial difference constraint violations. |
ACM Trans. Design Autom. Electr. Syst. |
2009 |
DBLP DOI BibTeX RDF |
interface timing, layout compaction, scheduling, real-time systems, constraint satisfaction, timing constraints, Behavioral synthesis, multimedia synchronization, rate analysis |
22 | Sami Evangelista, Michael Westergaard, Lars Michael Kristensen |
The ComBack Method Revisited: Caching Strategies and Extension with Delayed Duplicate Detection. |
Trans. Petri Nets Other Model. Concurr. |
2009 |
DBLP DOI BibTeX RDF |
explicit state model checking, hash compaction, delayed duplicate detection, state space reduction, state explosion problem |
22 | Bo Li 0006, Runhai Jiao, Yuancheng Li |
Fast Adaptive Wavelet for Remote Sensing Image Compression. |
J. Comput. Sci. Technol. |
2007 |
DBLP DOI BibTeX RDF |
wavelet construction, energy compaction, fast adaptive wavelet selection, image compression, image classification, remote sensing image |
22 | Nirmal Ramalingam, Sanjukta Bhanja |
Causal probabilistic input dependency learning for switching model in VLSI circuits. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
cross-talk estimation, probabilistic learning, vector compaction, Bayesian networks, power estimation |
22 | Stelios Neophytou, Maria K. Michael, Spyros Tragoudas |
Test set enhancement for quality transition faults using function-based methods. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
high quality test, ATPG, delay test, critical paths, transition fault, test compaction |
22 | Bjorn De Sutter, Hans Vandierendonck, Bruno De Bus, Koenraad De Bosschere |
On the side-effects of code abstraction. |
LCTES |
2003 |
DBLP DOI BibTeX RDF |
code abstraction, performance, code compaction |
22 | Cyrus Bamji, Ravi Varadarajan |
Incremental Autojogging using Range Spaces. |
VLSI Design |
1998 |
DBLP DOI BibTeX RDF |
jogging, compaction auto-jogging, incremental |
22 | Oscar C. Au, Ming L. Liou, L. K. Ma |
Fast Fractal Encoding in Frequency Domain. |
ICIP (2) |
1997 |
DBLP DOI BibTeX RDF |
fast fractal encoding, self-similarity property, energy compaction property, range block, domain block, fast search methods, statistical normalization, algorithm, image compression, affine transform, frequency domain, frequency-domain analysis, fractal coding, scaling factor, DCT domain, image domain |
22 | Y. Hui, Chi-Wah Kok, Truong Q. Nguyen |
Image Compression Using Shift-Invariant Dyadic Wavelets. |
ICIP (1) |
1997 |
DBLP DOI BibTeX RDF |
shift-invariant dyadic wavelets, shift-invariant wavelet filters, subband decomposition, input image, dyadic wavelet transform, energy compaction property, bit-allocation schemes, shift-invariant wavelet transform coding, two-channel filter bank, image coding, image coding, image compression, experimental results, human visual system, cost function, decomposition tree |
22 | Erez Buchnik, Shmuel Ur |
Compacting regression-suites on-the-fly. |
APSEC |
1997 |
DBLP DOI BibTeX RDF |
regression suite compaction algorithm, regression suite size minimization, online set-cover, intermediate set size, software testing, coverage, program testing, bugs, computational resources, solution quality |
22 | Toshinori Hosokawa, Toshihiro Hiraoka, Mitsuyasu Ohta, Michiaki Muraoka, Shigeo Kuninobu |
A Partial Scan Design Method Based on n-Fold Line-up Structures. |
Asian Test Symposium |
1997 |
DBLP DOI BibTeX RDF |
n-fold line-up structure, dynamic test sequence compaction, flip-flop of load/hold type, fault efficiency, state justification, partial scan |
22 | Dirk Stroobandt, Jan Van Campenhout |
Hierarchical Test Generation with Built-In Fault Diagnosis. |
Asian Test Symposium |
1996 |
DBLP DOI BibTeX RDF |
Hierarchical Test Pattern Generation, Fault Diagnosis, Test Compaction |
22 | Vamsi Boppana, Ismed Hartanto, W. Kent Fuchs |
Full fault dictionary storage based on labeled tree encoding. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
digital storage, full fault dictionary storage, labeled tree encoding, fault dictionary compaction, binary string code, implicit storage, VLSI, fault diagnosis, logic testing, integrated circuit testing, encoding, automatic testing, circuit analysis computing, fault trees |
22 | Stefan Radtke, Jens Bargfrede, Walter Anheier |
Distributed automatic test pattern generation with a parallel FAN algorithm. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
distributed automatic test pattern generation, parallel FAN algorithm, backtracking mechanism, heterogeneous cluster of workstations, test vector compaction, genetic algorithms, genetic algorithm, parallel algorithms, computational complexity, logic testing, digital circuits, digital circuits, NP hard problem, sequential algorithms |
22 | Rong Lin, Stephan Olariu |
A simple array processor for binary prefix sums. |
ASAP |
1995 |
DBLP DOI BibTeX RDF |
binary prefix sums, storage compaction, routing, computational complexity, parallel processing, VLSI, network routing, circuit CAD, array processor, binary sequence, special-purpose architecture, processor assignment, operating system design |
22 | Udo Mahlstedt, Jürgen Alt, Matthias Heinitz |
CURRENT: a test generation system for IDDQ testing. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
CURRENT test system, test generation system, scan-based circuits, library-based fault modeling strategy, intra-gate shorts, inter-gate shorts, gate-drain shorts, deterministic test generator, test set compaction technique, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault simulator, fault coverage, fault location, CMOS logic circuits, bridging faults, boundary scan testing, I/sub DDQ/ testing, test application time reduction, stuck-on faults, leakage faults |
22 | M. H. Konijnenburg, J. Th. van der Linden, Ad J. van de Goor |
Compact test sets for industrial circuits. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
compact test sets, industrial circuits, binary logic elements, three-state elements, compaction oriented decision making, heuristics, logic testing, integrated circuit testing, automatic test pattern generation, combinational circuits, automatic testing, multivalued logic circuits, test patterns, bidirectionals, xor gates, or gates, test set size |
22 | R. Kh. Latypov |
Comments on "optimizing error masking in BIST by output data modification". |
J. Electron. Test. |
1991 |
DBLP DOI BibTeX RDF |
Output data compaction, output data modification, Walsh coefficients |
22 | Yervant Zorian, Vinod K. Agarwal |
Optimizing error masking in BIST by output data modification. |
J. Electron. Test. |
1990 |
DBLP DOI BibTeX RDF |
error masking, improving fault coverage, output data compaction, Built-in self-test |
22 | Alexander Aiken, Alexandru Nicolau |
A Development Environment for Horizontal Microcode. |
IEEE Trans. Software Eng. |
1988 |
DBLP DOI BibTeX RDF |
horizontal microcode, percolation scheduling, interactive profiling system, microcode compaction process, correctness preservation, semantics-preserving transformations, scheduling, user interfaces, architecture, parallel programming, synchronization, programming environments, synchronisation, graphical interface, development environment, microprogramming, parallelism extraction |
22 | Jayaram Bhasker, Tariq Samad |
Compacting MIMOLA microcode. |
MICRO |
1987 |
DBLP DOI BibTeX RDF |
clique partitioning, synthesis, compaction, microprogramming |
17 | Bernadete Maria de Mendonça Neta, Gustavo Henrique Diniz Araújo, Frederico Gadelha Guimarães, Renato Cardoso Mesquita |
A hybrid genetic algorithm for automatic graph drawing based on the topology-shape-metric approach. |
GECCO |
2010 |
DBLP DOI BibTeX RDF |
automatic graph drawing, combinatorial optimization., topology-shape-metric, genetic algorithm |
17 | Armin Haller, Mateusz Marmolowski, Walid Gaaloul, Eyal Oren, Brahmananda Sapkota, Manfred Hauswirth |
From Workflow Models to Executable Web Service Interfaces. |
ICWS |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Ramez Alkhatib, Marc H. Scholl |
Compacting XML Structures Using a Dynamic Labeling Scheme. |
BNCOD |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Shaoshan Liu, Ligang Wang 0001, Xiao-Feng Li, Jean-Luc Gaudiot |
Space-and-time efficient garbage collectors for parallel systems. |
Conf. Computing Frontiers |
2009 |
DBLP DOI BibTeX RDF |
garbage collection, java virtual machine |
17 | Janusz Rajski, Jerzy Tyszer, Grzegorz Mrugalski, Wu-Tung Cheng, Nilanjan Mukherjee 0001, Mark Kassab |
X-Press: Two-Stage X-Tolerant Compactor With Programmable Selector. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Melanie Elm, Hans-Joachim Wunderlich |
Scan Chain Organization for Embedded Diagnosis. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Xiao-Feng Li, Ligang Wang 0001, Chen Yang |
A Fully Parallel LISP2 Compactor with Preservation of the Sliding Properties. |
LCPC |
2008 |
DBLP DOI BibTeX RDF |
compactor, parallelization, Garbage collector |
17 | Yinhe Han 0001, Yu Hu 0001, Xiaowei Li 0001, Huawei Li 0001, Anshuman Chandra |
Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Seraj Ahmad, Rabi N. Mahapatra |
An Efficient Approach to On-Chip Logic Minimization. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Ming-Yung Ko, Claudiu Zissulescu, Sebastian Puthenpurayil, Shuvra S. Bhattacharyya, Bart Kienhuis, Ed F. Deprettere |
Parameterized Looped Schedules for Compact Representation of Execution Sequences in DSP Hardware and Software Implementation. |
IEEE Trans. Signal Process. |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Gert Jervan, Helena Kruus, Elmet Orasson, Raimund Ubar |
Optimization of Memory-Constrained Hybrid BIST for Testing Core-Based Systems. |
SIES |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei |
A hybrid scheme for compacting test responses with unknown values. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Weixing Ji, Feng Shi 0009, Baojun Qiao |
A self-maintained memory module supporting DMM. |
CASES |
2007 |
DBLP DOI BibTeX RDF |
active memory module, object-based cache, object-oriented programming, dynamic memory management |
17 | Ming-Yung Ko, Claudiu Zissulescu, Sebastian Puthenpurayil |
Parameterized Looped Schedules for Compact Representationof Execution Sequences. |
ASAP |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Sverre Wichlund, Frank Berntsen, Einar J. Aas |
Reducing ATE Bandwidth and memory requirements: A diagnosis friendly scan test response compactor. |
DFT |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Yonsang Cho, Irith Pomeranz, Sudhakar M. Reddy |
On reducing test application time for scan circuits using limited scan operations and transfer sequences. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Yinhe Han 0001, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 |
Theoretic analysis and enhanced X-tolerance of test response compact based on convolutional code. |
ASP-DAC |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Thomas Clouqueur, Hideo Fujiwara, Kewal K. Saluja |
A Class of Linear Space Compactors for Enhanced Diagnostic. |
Asian Test Symposium |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Aiman H. El-Maleh, Khaled Al-Utaibi |
An efficient test relaxation technique for synchronous sequential circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Andrew Neel, Max H. Garzon, Phanni Penumatsa |
Improving the Quality of Semantic Retrieval in DNA-Based Memories with Learning. |
KES |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Irith Pomeranz, Sudhakar M. Reddy |
On Undetectable Faults in Partial Scan Circuits Using Transparent-Scan. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Yonsang Cho, Irith Pomeranz, Sudhakar M. Reddy |
Test Application Time Reduction for Scan Circuits Using Limited Scan Operations. |
ISQED |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Sobeeh Almukhaizim, Petros Drineas, Yiorgos Makris |
Cost-Driven Selection of Parity Trees. |
VTS |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Peter C. Dillinger, Panagiotis Manolios |
Bloom Filters in Probabilistic Verification. |
FMCAD |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Neng-Chung Wang, Tzung-Shi Chen |
Task Migration in All-Port Wormhole-Routed 2D Mesh Multicomputer. |
ISPAN |
2004 |
DBLP DOI BibTeX RDF |
parallel computing, wormhole routing, processor allocation, task migration, Mesh multicomputers |
17 | Chen Wang 0014, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski, Jerzy Tyszer |
On Compacting Test Response Data Containing Unknown Values. |
ICCAD |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Aiman H. El-Maleh, Khaled Al-Utaibi |
On efficient extraction of partially specified test sets for synchronous sequential circuits. |
ISCAS (5) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Aiman H. El-Maleh, Khaled Al-Utaibi |
An Efficient Test Relaxation Technique for Synchronous Sequential Circuits. |
VTS |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Chia-Tien Dan Lo |
The Design of a Self-Maintained Memory Module for Real-Time Systems. |
IWSOC |
2003 |
DBLP DOI BibTeX RDF |
Copying Collection, Real-Time, Garbage Collection, Dynamic Memory Management |
17 | Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel, Krishnendu Chakrabarty |
Synthesis of single-output space compactors for scan-based sequential circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Guangyu Chen, R. Shetty, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mario Wolczko |
Tuning garbage collection for reducing memory system energy in an embedded java environment. |
ACM Trans. Embed. Comput. Syst. |
2002 |
DBLP DOI BibTeX RDF |
K Virtual Machine (KVM), Java, Java Virtual Machine (JVM), Garbage collector, low power computing |
17 | Guangyu Chen, R. Shetty, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mario Wolczko |
Tuning Garbage Collection in an Embedded Java Environment. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Alan Crispin, Paul Clay, Gaynor Taylor, Robert Hackney, Tom Bayes, David Reedman |
Genetic Algorithm Optimisation of Part Placement Using a Connection-Based Coding Method. |
IEA/AIE |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Marios S. Pattichis, Alan C. Bovik, John W. Havlicek, Nicholas D. Sidiropoulos |
Multidimensional orthogonal FM transforms. |
IEEE Trans. Image Process. |
2001 |
DBLP DOI BibTeX RDF |
|
17 | Andrej A. Morosov, Michael Gössel, Krishnendu Chakrabarty, Bhargab B. Bhattacharya |
Design of Parameterizable Error-Propagating Space Compactors for Response Observation. |
VTS |
2001 |
DBLP DOI BibTeX RDF |
|
17 | Bin-Hong Lin, Shao-Hui Shieh, Cheng-Wen Wu |
A fast signature computation algorithm for LFSR and MISR. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Diana Marculescu, Radu Marculescu, Massoud Pedram |
Stochastic sequential machine synthesis with application to constrained sequence generation. |
ACM Trans. Design Autom. Electr. Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Turgay Korkmaz, Marwan Krunz |
Source-oriented topology aggregation with multiple QoS parameters in hierarchical networks. |
ACM Trans. Model. Comput. Simul. |
2000 |
DBLP DOI BibTeX RDF |
QoS-based routing, ATM networks, topology aggregation, scalable routing, PNNI |
17 | Irith Pomeranz, Sudhakar M. Reddy |
On Test Application Time and Defect Detection Capabilities of Test Sets for Scan Designs. |
ICCD |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel |
Zero-Aliasing Space Compression using a Single Periodic Output and its Application to Testing of Embedded Cores. |
VLSI Design |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Kuen-Jong Lee, Jih-Jeen Chen, Cheng-Hua Huang |
Broadcasting test patterns to multiple circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Juan María Sánchez, Xavier Binefa |
AudiCom: A Video Analysis System for Auditing Commercial Broadcasts. |
ICMCS, Vol. 2 |
1999 |
DBLP DOI BibTeX RDF |
Pattern recognition, Image processing, Multimedia applications, Video content analysis |
17 | Irith Pomeranz, Sudhakar M. Reddy |
Test Generation for Synchronous Sequential Circuits to Reduce Storage Requirements. |
Asian Test Symposium |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Ilker Hamzaoglu, Janak H. Patel |
Compact two-pattern test set generation for combinational and full scan circuits. |
ITC |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Bin-Hong Lin, Shao-Hui Shieh, Cheng-Wen Wu |
A MISR Computation Algorithm for Fast Signature Simulation. |
Asian Test Symposium |
1996 |
DBLP DOI BibTeX RDF |
single-input signature register, memory-oriented policy, time-oriented policy, reverse zero-checking policy, Multiple-input signature register |
17 | Seiji Kajihara, Irith Pomeranz, Kozo Kinoshita, Sudhakar M. Reddy |
Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1995 |
DBLP DOI BibTeX RDF |
|
17 | Beyin Chen, Chung-Len Lee 0001 |
A complement-based fast algorithm to generate universal test sets for multi-output functions. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Slawomir Pilarski, Andrzej Krasniewski, Tiko Kameda |
Estimating testing effectiveness of the circular self-test path technique. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1992 |
DBLP DOI BibTeX RDF |
|
17 | Robert Chun, Randy Lichota, Brad Perry, Nael Sabha |
Synthesis of parallel Ada code from a knowledge base of rules. |
SPDP |
1991 |
DBLP DOI BibTeX RDF |
|
17 | Philippe Flajolet, Paolo Sipala, Jean-Marc Steyaert |
Analytic Variations on the Common Subexpression Problem. |
ICALP |
1990 |
DBLP DOI BibTeX RDF |
|
17 | Toshio Nakatani, Kemal Ebcioglu |
"Combining" as a compilation technique for VLIW architectures. |
MICRO |
1989 |
DBLP DOI BibTeX RDF |
|
17 | Knut M. Just, Werner L. Schiele, Thomas Krüger |
Plowing: Modifying Cells and Routing 45: 9D - Layouts. |
DAC |
1989 |
DBLP DOI BibTeX RDF |
|
17 | David Marple |
Transistor Size Optimization in the Tailor Layout System. |
DAC |
1989 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz |
Dynamic Test Compaction of a Compressed Test Set Shared Among Logic Blocks. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2024 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz |
Test Insertion for Dynamic Test Compaction. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2024 |
DBLP DOI BibTeX RDF |
|
14 | José Antonio Villacorta-Atienza, Carlos Calvo Tapia, Valeriy A. Makarov Slizneva |
Prediction-for-CompAction: navigation in social environments using generalized cognitive maps. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
14 | Xing Zhang, Yangping Yao, Zhao Zhang 0014 |
A two-phase method for compaction path planning and control of the impact roller. |
Adv. Eng. Informatics |
2024 |
DBLP DOI BibTeX RDF |
|
14 | André Kubagawa Sato, Leandro Resende Mundim, Thiago de Castro Martins, Marcos de Sales Guerra Tsuzuki |
A separation and compaction algorithm for the two-open dimension nesting problem using penetration-fit raster and obstruction map. |
Expert Syst. Appl. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Han Yin, Chun Tan, Wen Zhang, Chen Cao, Xinchuan Xu, Jia Wang, Junqi Chen |
Rapid Compaction Monitoring and Quality Control of Embankment Dam Construction Based on UAV Photogrammetry Technology: A Case Study. |
Remote. Sens. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Hui Sun 0002, Guanzhong Chen, Yinliang Yue, Xiao Qin 0001 |
Improving LSM-Tree Based Key-Value Stores With Fine-Grained Compaction Mechanism. |
IEEE Trans. Cloud Comput. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Bo-Hyun Lee, Mijin An, Sang-Won Lee 0001 |
A Case for Space Compaction of B-Tree Nodes on Flash Storage. |
IEEE Access |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Dilshad Sabir, Muhammad Fasih Uddin Butt, Ali Hassan 0001, Saad Rehman, Mehwish Mehmood, Abdulah Jeza Aljohani |
CoRAE: Energy Compaction-Based Correlation Pattern Recognition Training Using AutoEncoder. |
IEEE Access |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Stephan Eggersglüß, Sylwester Milewski, Janusz Rajski, Jerzy Tyszer |
A New Static Compaction of Deterministic Test Sets. |
IEEE Trans. Very Large Scale Integr. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz |
Diagnostic Test Point Insertion and Test Compaction. |
IEEE Trans. Very Large Scale Integr. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Lianlei Shan, Weiqiang Wang, Ke Lv, Bin Luo 0001 |
Boosting Semantic Segmentation of Aerial Images via Decoupled and Multilevel Compaction and Dispersion. |
IEEE Trans. Geosci. Remote. Sens. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Zundong Liang, Chao Xing, Huining Xu, Yiqiu Tan, Tairui Qiu, Bo Chai, Jilu Li, Tianci Liu 0004 |
Asphalt Pavement Compaction and Vehicle Speed Monitoring Using Intelligent Aggregate. |
IEEE Trans. Intell. Transp. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Shuai Yu 0004, Shihui Shen |
Compaction Prediction for Asphalt Mixtures Using Wireless Sensor and Machine Learning Algorithms. |
IEEE Trans. Intell. Transp. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | José G. Hasbani, Evan M. C. Kias, Roberto Suarez-Rivera, Victor M. Calo |
Shear-Enhanced Compaction Analysis of the Vaca Muerta Formation. |
Comput. |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Irina Kostitsyna, Tim Ophelders, Irene Parada, Tom Peters, Willem Sonke, Bettina Speckmann |
Optimal In-Place Compaction of Sliding Cubes. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Sompote Youwai, Sirasak Detcheewa |
Transformer Based Model for Predicting Rapid Impact Compaction Outcomes: A Case Study of Utapao International Airport. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Yanpeng Hu, Li Zhu, Lei Jia, Chundong Wang 0001 |
AisLSM: Revolutionizing the Compaction with Asynchronous I/Os for LSM-tree. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Haiyang Xu, Zhichao Zhou, Dongliang He, Fu Li, Jingdong Wang 0001 |
Vision Transformer with Attention Map Hallucination and FFN Compaction. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Xuefei Wang, Xuping Dong, Jiale Li, Zhishuai Zhang, Jianmin Zhang, Guowei Ma |
Developing an advanced ANN-based approach to estimate compaction characteristics of highway subgrade. |
Adv. Eng. Informatics |
2023 |
DBLP DOI BibTeX RDF |
|
14 | João Serrano, João Marques, Shakib Shahidian, Emanuel Carreira, José Rafael Marques da Silva, Luis Paixão, Luís Lorenzo Paniagua, Francisco Jesús Moral, Isabel Ferraz de Oliveira, Elvira Sales-Baptista |
Sensing and Mapping the Effects of Cow Trampling on the Soil Compaction of the Montado Mediterranean Ecosystem. |
Sensors |
2023 |
DBLP DOI BibTeX RDF |
|
Displaying result #301 - #400 of 1492 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|