The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lithography with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1990 (18) 1991-1993 (19) 1994-1997 (20) 1998-2000 (15) 2001-2002 (16) 2003 (21) 2004 (19) 2005 (25) 2006 (35) 2007 (35) 2008 (58) 2009 (50) 2010 (37) 2011 (27) 2012 (32) 2013 (35) 2014 (39) 2015 (35) 2016 (35) 2017 (33) 2018 (35) 2019 (23) 2020 (16) 2021 (19) 2022 (18) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(265) book(1) incollection(2) inproceedings(456) phdthesis(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 168 keywords

Results
Found 748 publication records. Showing 748 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Changtao Wang, Wei Zhang, Zeyu Zhao, Yanqin Wang, Ping Gao 0006, Yunfei Luo, Xiangang Luo Plasmonic Structures, Materials and Lenses for Optical Lithography beyond the Diffraction Limit: A Review. Search on Bibsonomy Micromachines The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yunfeng Yang, Wai-Shing Luk, David Z. Pan, Hai Zhou 0001, Changhao Yan, Dian Zhou, Xuan Zeng 0001 Layout Decomposition Co-Optimization for Hybrid E-Beam and Multiple Patterning Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Xuan Dong 0003, Lihong Zhang Lithography-Aware Analog Layout Retargeting. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Iou-Jen Liu, Shao-Yun Fang, Yao-Wen Chang Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yu Lu 0002, Wei Wu, Keyi Wang High-Speed Transmission and Mass Data Storage Solutions for Large-Area and Arbitrarily Structured Fabrication through Maskless Lithography. Search on Bibsonomy J. Electr. Comput. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mohammed-Baker Habhab, Tania Ismail, Joe Fujiou Lo A Laminar Flow-Based Microfluidic Tesla Pump via Lithography Enabled 3D Printing. Search on Bibsonomy Sensors The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Dongxu Ren, Huiying Zhao, Chupeng Zhang, Daocheng Yuan, Jianpu Xi, Xueliang Zhu, Xinxing Ban, Longchao Dong, Yawen Gu, Chunye Jiang Multi-Repeated Projection Lithography for High-Precision Linear Scale Based on Average Homogenization Effect. Search on Bibsonomy Sensors The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Moojoon Shin, Jee-Hyong Lee 0001 CNN Based Lithography Hotspot Detection. Search on Bibsonomy Int. J. Fuzzy Log. Intell. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Nobuyuki Moronuki, Nguyen Phan, Norito Keyaki Fabrication of High Aspect Ratio Silicon Nanostructure with Sphere Lithography and Metal-Assisted Chemical Etching and its Wettability. Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Takamasa Kawanago, Ryo Ikoma, Du Wanjing, Shunri Oda Adhesion lithography to fabricate MoS2 FETs with self-assembled monolayer-based gate dielectrics. Search on Bibsonomy ESSDERC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Junyang Li, Weicheng Ma, Fuzhou Niu, Yu Ting Chow, Shuxun Chen, Bo Ouyang, Haibo Ji, Jie Yang 0004, Dong Sun 0001 Development of biocompatible magnetic microrobot transporter using 3D laser lithography. Search on Bibsonomy AIM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jian Kuang 0001, Evangeline F. Y. Young Optimization for Multiple Patterning Lithography with cutting process and beyond. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
16Woohyun Chung, Seongbo Shim, Youngsoo Shin Redundant via insertion in directed self-assembly lithography. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
16You-Lin Tu, Jin-An Wu, Shih-Jui Chen, Barthelemy Cagneau, Luc Chassagne Fabrication of acoustic ejectors with replaceable acoustic lens by using soft-lithography. Search on Bibsonomy IEEE SENSORS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Fedor G. Pikus, Andres J. Torres Advanced multi-patterning and hybrid lithography techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yibo Lin, Bei Yu 0001, Yi Zou, Zhuo Li 0001, Charles J. Alpert, David Z. Pan Stitch aware detailed placement for multiple e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Andrew J. Fleming, Adrian Wills, Omid T. Ghalehbeygi, Ben Routley, Brett Ninness A nonlinear programming approach to exposure optimization in scanning laser lithography. Search on Bibsonomy ACC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jun Watanabe, Jun-ya Iwasaki, Toshiyuki Horiuchi New Maskless Lithography System for Fabricating Biodevices using Light-Emitting Diodes and Squared Optical Fibers. Search on Bibsonomy BIODEVICES The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jiaojiao Ou, Bei Yu 0001, David Z. Pan Concurrent Guiding Template Assignment and Redundant via Insertion for DSA-MP Hybrid Lithography. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Hang Zhang 0010, Bei Yu 0001, Evangeline F. Y. Young Enabling online learning in lithography hotspot detection with information-theoretic feature optimization. Search on Bibsonomy ICCAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yu-Hsuan Su, Yao-Wen Chang DSA-compliant routing for two-dimensional patterns using block copolymer lithography. Search on Bibsonomy ICCAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jung-Hun Seo, Tao Ling, L. Jay Guo, Zhenqiang Ma Fast flexible thin-film transistors with deep submicron channel enabled by nanoimprint lithography. Search on Bibsonomy RWS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Xuan Dong 0003, Lihong Zhang Advanced nanometer technology analog layout retargeting for lithography friendly design. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jonathan St-Yves, Sophie LaRochelle, Wei Shi 0007 O-band silicon photonic Bragg-grating multiplexers using UV lithography. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
16Debasis Pal, Abir Pramanik, Parthasarathi Dasgupta, Debesh Kumar Das Double Patterning Lithography (DPL)-compliant layout construction (DCLC) with area-stitch usage tradeoff. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Seongbo Shim, Suhyeong Choi, Youngsoo Shin Machine learning (ML)-based lithography optimizations. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yukihide Kohira, Atsushi Takahashi 0001, Tomomi Matsui, Chikaaki Kodama, Shigeki Nojima, Satoshi Tanaka Manufacturability-aware mask assignment in multiple patterning lithography. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Kuen-Wey Lin, Yih-Lang Li, Rung-Bin Lin Multiple-patterning lithography-aware routing for standard cell layout synthesis. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Seongbo Shim, Woohyun Chung, Youngsoo Shin Redundant via insertion for multiple-patterning directed-self-assembly lithography. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Haitong Tian Layout decomposition for triple patterning lithography Search on Bibsonomy 2016   RDF
16Zhen Geng, Zheng Shi 0002, Xiaolang Yan, Kai-sheng Luo, Weiwei Pan Fast Level-Set-Based Inverse Lithography Algorithm for Process Robustness Improvement and Its Application. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Subhojit Banerjee, Anuj K. Sharma Co-creation as a risk-sharing strategy for the development of innovative EUV lithography technology in the semiconductor industry. Search on Bibsonomy Technol. Anal. Strateg. Manag. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jeong-Bong Lee, Kyung-Hak Choi, Koangki Yoo Innovative SU-8 Lithography Techniques and Their Applications. Search on Bibsonomy Micromachines The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Harutaka Mekaru Performance of SU-8 Membrane Suitable for Deep X-Ray Grayscale Lithography. Search on Bibsonomy Micromachines The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Iou-Jen Liu, Shao-Yun Fang, Yao-Wen Chang Stitch-Aware Routing for Multiple E-Beam Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Hsi-An Chien, Ye-Hong Chen, Szu-Yuan Han, Hsiu-Yu Lai, Ting-Chi Wang On Refining Row-Based Detailed Placement for Triple Patterning Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Bei Yu 0001, Xiaoqing Xu, Jhih-Rong Gao, Yibo Lin, Zhuo Li 0001, Charles J. Alpert, David Z. Pan Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Chris C. N. Chu, Wai-Kei Mak Flexible Packed Stencil Design With Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Bei Yu 0001, Kun Yuan, Duo Ding, David Z. Pan Layout Decomposition for Triple Patterning Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ye Zhang 0011, Wai-Shing Luk, Yunfeng Yang, Hai Zhou 0001, Changhao Yan, David Z. Pan, Xuan Zeng 0001 Layout Decomposition with Pairwise Coloring and Adaptive Multi-Start for Triple Patterning Lithography. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Narendra Chaudhary, Yao Luo, Serap A. Savari, Roger McCay Lossless Layout Image Compression Algorithms for Electron-Beam Direct-Write Lithography. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
16Jatin Chopra Analysis of Lithography Based Approaches In development of Semi Conductors. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
16Bei Yu 0001, Kun Yuan, Jhih-Rong Gao, David Z. Pan E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
16Federico Picollo, Alfio Battiato, Emilio Carbone, Luca Croin, Emanuele Enrico, Jacopo Forneris, Sara Gosso, Paolo Olivero, Alberto Pasquarelli, Valentina Carabelli Development and Characterization of a Diamond-Insulated Graphitic Multi Electrode Array Realized with Ion Beam Lithography. Search on Bibsonomy Sensors The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Masato Suzuki, Takahiro Sawa, Tomokazu Takahashi, Seiji Aoyagi Fabrication of Microneedle Mimicking Mosquito Proboscis Using Nanoscale 3D Laser Lithography System. Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Omid T. Ghalehbeygi, Garth Berriman, Andrew J. Fleming, John L. Holdsworth Optimization and simulation of exposure pattern for scanning laser lithography. Search on Bibsonomy CCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anindya Nag, Asif I. Zia, S. C. Mukhopadhyay 0001, Jürgen Kosel Performance enhancement of electronic sensor through mask-less lithography. Search on Bibsonomy ICST The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16R. Morarescu, P. K. Pal, X. Han, M. Zhao, Peter Bienstman, Geert Morthier Polymer microring resonators for biosensing applications by nanoimprint lithography. Search on Bibsonomy ICTON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Seongbo Shim, Youngsoo Shin Physical design and mask optimization for directed self-assembly lithography (DSAL). Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Sudipta Paul 0001, Pritha Banerjee 0001, Susmita Sur-Kolay Flare reduction in EUV Lithography by perturbation of wire segments. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yukihide Kohira, Tomomi Matsui, Yoko Yokoyama, Chikaaki Kodama, Atsushi Takahashi 0001, Shigeki Nojima, Satoshi Tanaka Fast mask assignment using positive semidefinite relaxation in LELECUT triple patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Daifeng Guo, Yuelin Du, Martin D. F. Wong Polynomial time optimal algorithm for stencil row planning in e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yunfeng Yang, Wai-Shing Luk, Hai Zhou 0001, Changhao Yan, Xuan Zeng 0001, Dian Zhou Layout decomposition co-optimization for hybrid e-beam and multiple patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xiayun Zhao, David W. Rosen Process modeling and advanced control methods for Exposure Controlled Projection Lithography. Search on Bibsonomy ACC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Hsi-An Chien, Szu-Yuan Han, Ye-Hong Chen, Ting-Chi Wang A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography. Search on Bibsonomy ISPD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zong-Ming Su, Xiao-Sheng Zhang, Mengdi Han, Xiao-Liang Cheng, Xia Jiang, Xiang-Zhi Yin, Haixia Zhang 0002 Honeycomb-patterned PDMS membrane based on nanosphere lithography. Search on Bibsonomy NEMS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jaehyun Park, ChangKyu Yoon, Qianru Jin, Lei Chen, David H. Gracias Rolled-up nanoporous membranes by nanoimprint lithography and strain engineering. Search on Bibsonomy NEMS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Seongbo Shim, Woohyun Chung, Youngsoo Shin Defect Probability of Directed Self-Assembly Lithography: Fast Identification and Post-Placement Optimization. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xuan Dong 0003, Lihong Zhang Lithography-friendly analog layout migration. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Bram van der Sanden, Michel A. Reniers, Marc Geilen, Twan Basten, Johan Jacobs, Jeroen Voeten, Ramon R. H. Schiffelers Modular model-based supervisory controller design for wafer logistics in lithography machines. Search on Bibsonomy MoDELS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yixiao Ding, Chris C. N. Chu, Wai-Kei Mak Detailed routing for spacer-is-metal type self-aligned double/quadruple patterning lithography. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Hung-Chih Ou, Kai-Han Tseng, Yao-Wen Chang Cutting structure-aware analog placement based on self-aligned double patterning with e-beam lithography. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yasmine Badr, Andres Torres, Puneet Gupta 0001 Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/vias. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zigang Xiao Design automation algorithms for advanced lithography Search on Bibsonomy 2015   RDF
16Vitor Riseti Manfrinato Electron-beam lithography towards the atomic scale and applications to nano-optics. Search on Bibsonomy 2015   RDF
16Kai-sheng Luo, Zheng Shi 0002, Xiaolang Yan, Zhen Geng SVM based layout retargeting for fast and regularized inverse lithography. Search on Bibsonomy J. Zhejiang Univ. Sci. C The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Wei Zhao, Hailong Yao, Yici Cai, Subarna Sinha, Charles C. Chiang Fast and scalable parallel layout decomposition in double patterning lithography. Search on Bibsonomy Integr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Shih-Hao Huang, Chia-Kai Lin Stop-flow Lithography to Continuously Fabricate Microlens Structures Utilizing an Adjustable Three-Dimensional Mask. Search on Bibsonomy Micromachines The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Shao-Yun Fang, Yao-Wen Chang, Wei-Yu Chen A Novel Layout Decomposition Algorithm for Triple Patterning Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Wan-Yu Wen, Jin-Cheng Li, Sheng-Yuan Lin, Jing-Yi Chen, Shih-Chieh Chang A Fuzzy-Matching Model With Grid Reduction for Lithography Hotspot Detection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Wai-Kei Mak, Chris Chu E-Beam Lithography Character and Stencil Co-Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jhih-Rong Gao, Bei Yu 0001, David Z. Pan Lithography Hotspot Detection and Mitigation in Nanometer VLSI. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Bei Yu 0001, Xiaoqing Xu, Jhih-Rong Gao, David Z. Pan Methodology for standard cell compliance and detailed placement for triple patterning lithography. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Bei Yu 0001, David Z. Pan Layout Decomposition for Quadruple Patterning Lithography and Beyond. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Bei Yu 0001, Jhih-Rong Gao, David Z. Pan Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Bei Yu 0001, Jhih-Rong Gao, David Z. Pan L-Shape based Layout Fracturing for E-Beam Lithography. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Bei Yu 0001, Kun Yuan, Boyang Zhang, Duo Ding, David Z. Pan Layout decomposition for triple patterning lithography. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Bei Yu 0001, Subhendu Roy, Jhih-Rong Gao, David Z. Pan Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting (JM3 Special Session). Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Yen-Hung Lin, Bei Yu 0001, David Z. Pan, Yih-Lang Li TRIAD: a triple patterning lithography aware detailed router. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Bei Yu 0001, Kun Yuan, Jhih-Rong Gao, David Z. Pan E-BLOW: E-Beam Lithography Overlapping aware Stencil Planning for MCC System. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Giuseppe Schirripa Spagnolo, Lorenzo Cozzella, Donato Papalillo Smartphone Sensors for Stone Lithography Authentication. Search on Bibsonomy Sensors The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Virginia Estellers, Jean-Philippe Thiran, Maria Gabrani Surface Reconstruction From Microscopic Images in Optical Lithography. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Tomomi Matsui, Yukihide Kohira, Chikaaki Kodama, Atsushi Takahashi 0001 Positive Semidefinite Relaxation and Approximation Algorithm for Triple Patterning Lithography. Search on Bibsonomy ISAAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xiantao Sun, Weihai Chen, Rui Zhou 0003, Wenjie Chen, Jianbin Zhang Design of a force-decoupled compound parallel alignment stage for high-resolution imprint lithography. Search on Bibsonomy ICRA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Colin Rawlings, Urs Dürig, James L. Hedrick, Dan Coady, Armin Knoll Nanometer control of the markerless overlay process using thermal scanning probe lithography. Search on Bibsonomy AIM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Nigel P. Johnson, Graham J. Sharp, Saima Ishfaque Khan, Ifeoma G. Mbonson, Scott G. McMeekin, Basudev Lahiri, Richard M. De La Rue Progress in metamaterial fishnet formed by nanoimprint lithography and asymmetric split ring resonators (A-SRRs) for sensing. Search on Bibsonomy ICTON The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Tania Mara Ferla, Guilherme Flach, Ricardo Reis 0001 A tool to simulate optical lithography in nanoCMOs. Search on Bibsonomy I2MTC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jhih-Rong Gao, Bei Yu 0001, David Z. Pan Self-aligned double patterning layout decomposition with complementary e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Chris Chu, Wai-Kei Mak Flexible packed stencil design with multiple shaping apertures for e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Toshiyuki Horiuchi, Shinpei Yoshino Fabrication of Precise Micro-fluidic Devices using a Low-cost and Simple Contact-exposure Tool for Lithography. Search on Bibsonomy BIODEVICES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jian Kuang 0001, Evangeline F. Y. Young A highly-efficient row-structure stencil planning approach for e-beam lithography with overlapped characters. Search on Bibsonomy ISPD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Yuan-Jen Chang, Han-Kuan Huang Nano-scale tip fabricated by electro-chemical machining for nano lithography. Search on Bibsonomy NEMS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Erwin Berenschot, Hadi Yagubizade, Henri V. Jansen, Marcel B. Dijkstra, Niels R. Tas Fabrication of 2D-extruded fractal structures using repeated corner lithography and etching. Search on Bibsonomy NEMS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16T. Lin, T. Huang, Y. Yang, K. Tseng, C. Fu Throughput comparison of multi-exposure and multi-beam laser interference lithography on nano patterned sapphire substrate process. Search on Bibsonomy NEMS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jae Hong Park, Hyun Ik Jang, Jun Yong Park, Dong Eon Lee, Seok Woo Jeon, Woo Choong Kim, Hee Yeoun Kim, Chi Won Ahn Reversible nano-lithography between materials. Search on Bibsonomy NEMS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jian Kuang 0001, Wing-Kai Chow, Evangeline F. Y. Young Triple patterning lithography aware optimization for standard cell based design. Search on Bibsonomy ICCAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jian Kuang 0001, Evangeline F. Y. Young Overlapping-aware throughput-driven stencil planning for E-beam lithography. Search on Bibsonomy ICCAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Seok-Hwan Jeong, Daisuke Shimura, Takasi Simoyama, Tsuyoshi Horikawa, Yu Tanaka, Ken Morito Delayed interferometer based Si-wire WDM demultiplexers fabricated by phase controllable and productive 300-mm wafer-scale ArF-immersion lithography technology. Search on Bibsonomy OFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Vladimir Verstov, Vadim A. Shakhnov, Lyudmila Zinchenko Parallel Algorithm of SOI Layout Decomposition for Double Patterning Lithography on High-Performance Computer Platforms. Search on Bibsonomy DoCEIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Olivier Delléa, Olga Shavdina, Pascal Fugier, Philippe Coronel, Emmanuel Ollier, Simon-Frédéric Désage Control Methods in Microspheres Precision Assembly for Colloidal Lithography. Search on Bibsonomy IPAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 748 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license