The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase low-voltage (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1994 (22) 1995 (26) 1996 (22) 1997 (30) 1998 (53) 1999 (77) 2000 (60) 2001 (90) 2002 (111) 2003 (132) 2004 (96) 2005 (132) 2006 (137) 2007 (126) 2008 (150) 2009 (112) 2010 (111) 2011 (119) 2012 (131) 2013 (138) 2014 (132) 2015 (149) 2016 (145) 2017 (151) 2018 (152) 2019 (181) 2020 (160) 2021 (151) 2022 (139) 2023 (135) 2024 (33)
Publication types (Num. hits)
article(1282) book(4) data(4) incollection(4) inproceedings(2092) phdthesis(17)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 374 occurrences of 222 keywords

Results
Found 3403 publication records. Showing 3403 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Luis Henrique de Carvalho Ferreira, Tales Cleber Pimenta, Robson L. Moreno An Ultra Low-Voltage Ultra Low-Power CMOS Threshold Voltage Reference. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Christian Jesús B. Fayomi, Stephen J. Stratz Novel Approach to Low-Voltage Low-Power Bandgap Reference Voltage in Standard CMOS Process. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Tzu-Ming Wang, Ming-Dou Ker, Steve Yeh, Ya-Chun Chang Low-Power Wordline Voltage Generator for Low-Voltage Flash Memory. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín, Antonio Torralba 0002, Juan Antonio Gómez Galán, Alfonso Carlosena, Fernando Muñoz Chavero The flipped voltage follower: a useful cell for low-voltage low-power circuit design. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Abdoul Rjoub, Odysseas G. Koufopavlou Multithreshold voltage low-swing/low-voltage techniques in logic gates. Search on Bibsonomy Integr. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Philip K. T. Mok, Ka Nang Leung Design considerations of recent advanced low-voltage low-temperature-coefficient CMOS bandgap voltage reference. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Quoc-Hoang Duong, Trung-Kien Nguyen, Sang-Gug Lee 0001 Ultra low-voltage low-power exponential voltage-mode circuit with tunable output range. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
20Gianluca Giustolisi, Gaetano Palumbo, M. Criscione, F. Cutri A low-voltage low-power voltage reference based on subthreshold MOSFETs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Stephane Azzopardi, Eric Woirgard, Jean-Michel Vinassa, Olivier Briat, Christian Zardini IGBT Power modules thermal characterization : what is the optimum between a low current - high voltage or a high current - low voltage test condition for the same electrical power? Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Toru Tanzawa, Akira Umezawa, Masao Kuriyama, Tadayuki Taura, Hironori Banba, Takeshi Miyaba, Hitoshi Shiga, Yoshinori Takano, Shigeru Atsumi Wordline voltage generating system for low-power low-voltage flash memories. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Takahide Sato, Kazuyuki Wada, Shigetaka Takagi, Nobuo Fujii Novel voltage-regulating circuit for low-voltage and low-power OTA realization using MOSFETs in the non-saturation region. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Harry Eaton, Jeffery Lesho A Low Voltage, Low Power, BiCMOS, Audio-frequency Voltage Controlled Oscillator with Sinusoidal Ouptut. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
20Yu-Shiang Lin, Scott Hanson, Fabio Albano, Carlos Tokunaga, Razi-Ul Haque, Kensall D. Wise, Ann Marie Sastry, David T. Blaauw, Dennis Sylvester Low-voltage circuit design for widespread sensing applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Francesco Centurelli, Pietro Monsurrò, Giuseppe Scotti, Alessandro Trifiletti A gain-enhancing technique for very low-voltage amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Chien-Hung Kuo, Huai-Juan Xie An ultra low-voltage multibit delta-sigma modulator for audio-band application. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Weixun Yan, Robert Kolm, Horst Zimmermann Efficient four-stage frequency compensation for low-voltage amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Rajiv V. Joshi, Rouwaida Kanj, Keunwoo Kim, Richard Q. Williams, Ching-Te Chuang A floating-body dynamic supply boosting technique for low-voltage sram in nanoscale PD/SOI CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF booster circuit, low power, yield, SRAM
20Burak Kelleci, Aydin I. Karsilayan Low-Voltage Temperature-Independent Current Reference with no External Components. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Joongho Choi, Jinup Lim, Cheng-Chew Lim A low-voltage operational amplifier with high slew-rate for sigma-delta modulators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hold Omid Rajaee, Mehrdad Sharif Bakhtiar A high speed, high resolution, low voltage current mode sample and hold. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins A novel low-voltage cross-coupled passive sampling branch for reset- and switched-opamp circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Chun-Jen Huang, Hong-Yi Huang A low-voltage CMOS rail-to-rail operational amplifier using double p-channel differential input pairs. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Shelly Xiao, José B. Silva, Un-Ku Moon, Gabor C. Temes A tunable duty-cycle-controlled switched-R-MOSFET-C CMOS filter for low-voltage and high-linearity applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Montree Siripruchyanun, Poolsak Koseeyaporn, Jeerasuda Koseeyaporn, Paramote Wardkein Two low-voltage high-speed CMOS frequency-insensitive PWM signal generators based on relaxation oscillator. Search on Bibsonomy ISCAS (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Shanta Thoutam, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal Power efficient fully differential low-voltage two stage class AB/AB op-amp architectures. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20P. C. Chen, James B. Kuo Novel sub-1V CMOS domino dynamic logic circuit using a direct bootstrap (DB) technique for low-voltage CMOS VLSI. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Sara Escalera, Carlos M. Domínguez-Matas, José M. García-González, Oscar Guerra, Ángel Rodríguez-Vázquez On the development of a MODEM for data transmission and control of electrical household appliances using the low-voltage power-line. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Chengming He, Degang Chen 0001, Randall L. Geiger A low-voltage compatible two-stage amplifier with ≥120 dB gain in standard digital CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20E. Shen, J. B. Kuo 0.8 V CMOS content-addressable-memory (CAM) cell circuit with a fast tag-compare capability using bulk PMOS dynamic-threshold (BP-DTMOS) technique based on standard CMOS technology for low-voltage VLSI systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Volney C. Vincence, Carlos Galup-Montoro, Márcio C. Schneider A low-voltage CMOS class-AB operational amplifier. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Øivind Næss, Yngvar Berg Tunable floating-gate low-voltage transconductor. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Yi-Cheng Chang, Edwin W. Greeneich CMOS auto-ranging PLL for low-voltage wideband systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Luis Henrique de Carvalho Ferreira, Robson L. Moreno, Tales Cleber Pimenta, Carlos A. R. Filho An offset self-correction sample and hold circuit for precise applications in low voltage CMOS. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Sher Singh Rajput, Sudhanshu Shekhar Jamuar Low voltage high performance current conveyors and their applications as current sensors. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Montree Siripruchyanun, Paramote Wardkein Low-voltage high-speed PWM signal generations based on relaxation oscillator. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Viera Stopjaková, Hans A. R. Manhaeve, M. Sidiropulos On-Chip Transient Current Monitor for Testing of Low Voltage CMOS IC. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Leonard A. MacEachern, Eyad Abou-Allam, L. Wang, Tajinder Manku Low voltage mixer biasing using monolithic integrated transformer dc-coupling. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Sang Won Song, Mohammed Ismail 0001, Gyu Moon, Dong Yong Kim Accurate modeling of simultaneous switching noise in low voltage digital VLSI. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Atul Wokhlu, R. Venkat Krishna, Sandeep Agarwal A Low Voltage Mixed Signal ASIC for Digital Clinical Thermometer. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Ming-Dou Ker, Chung-Yu Wu, Tao Cheng, Hun-Hsien Chang Capacitor-couple ESD protection circuit for deep-submicron low-voltage CMOS ASIC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Sang-Hyun Cho, Chang-Kyo Lee, Jong-In Song Design of a 1-Volt and µ-power SARADC for Sensor Network Application. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19André Mansano, Jader A. De Lima, Jacobus W. Swart A compact fast-response charge-pump gate driver. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate driver, switched-capacitor converters, charge-pump
19Zhiyu Liu, Volkan Kursun High Speed Low Swing Dynamic Circuits with Multiple Supply and Threshold Voltages. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Volkan Kursun, Gerhard Schrom, Vivek De, Eby G. Friedman, Siva G. Narendra Cascode buffer for monolithic voltage conversion operating at high input supply voltages. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Goichi Ono, Masayuki Miyazaki, Kazuki Watanabe 0008, Takayuki Kawahara An LSI system with locked in temperature insensitive state achieved by using body bias technique. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Srinjoy Mitra, A. N. Chandorkar Design of Amplifier with Rail-to-Rail CMR with 1V Power Supply. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Mohammad Yavari, Omid Shoaei Low-voltage low-power fast-settling CMOS operational transconductance amplifiers for switched-capacitor applications. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cascode compensation, class AB, switched-capacitor circuits, operational transconductance amplifiers
18Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang Low-voltage micropower multipliers with reduced spurious switching. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mohammad Yavari, Omid Shoaei Low-voltage sigma-delta modulator topologies for broadband applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Chien-Chung Chua, Bah-Hwee Gwee, Joseph Sylvester Chang A low-voltage micropower asynchronous multiplier for a multiplierless FIR filter. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Edgar Mauricio Camacho-Galeano, Juliano Q. Moreira, Maicon Deivid Pereira, Adilson J. Cardoso, Carlos Galup-Montoro, Márcio C. Schneider Temperature performance of sub-1V ultra-low power current sources. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Rajiv V. Joshi, Kaushik Roy 0001 Design of Deep Sub-Micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Esther Rodríguez-Villegas, Adoración Rueda, Alberto Yufera A 1.25 V FGMOS filter using translinear circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Taro Niiyama, Piao Zhe, Koichi Ishida, Masami Murakata, Makoto Takamiya, Takayasu Sakurai Dependence of Minimum Operating Voltage (VDDmin) on Block Size of 90-nm CMOS Ring Oscillators and its Implications in Low Power DFM. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Amara Amara, Bastien Giraud, Olivier Thomas An Innovative 6T Hybrid SRAM Cell in sub-32 nm Double-Gate MOS Technology. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SRAM cell, Planar Double-Gate (DG), Fully Depleted SOI (FD-SOI), read and write tradeoffs, Ultra Low Voltage (ULV)
18Juan Mateus, Elkim Roa, Hugo Daniel Hernández, Wilhelmus A. M. Van Noije A 2.7ua sub1-v voltage reference. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF very low power, weak inversion, low voltage, voltage reference
18Huifang Qin, Animesh Kumar, Kannan Ramchandran, Jan M. Rabaey, Prakash Ishwar Error-Tolerant SRAM Design for Ultra-Low Power Standby Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DRV, low power, ECC, leakage, SRAM, variation, low voltage, error tolerant
18Martin Saint-Laurent, Baker Mohammad, Paul Bassett A 65-nm pulsed latch with a single clocked transistor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low voltage swing, minimum clock power, pulsed latch, virtual-ground clocking
18Jaydeep P. Kulkarni, Keejong Kim, Kaushik Roy 0001 A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power SRAM, low voltage SRAM, schmitt trigger, subthreshold SRAM, process variations
18Roghoyeh Salmeh, Brent Maundy A 5 GHz wide band input and output matched low noise amplifier. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RF integrated circuits, low noise amplifier (LNA), low noise figure, low-voltage circuit, wide-band LNA
18Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman, Maurice Lousberg, Ananta K. Majhi Diagnosis of Bridging Defects Based on Current Signatures at Low Power Supply Voltages. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Current Based Diagnosis, Current Signatures, I_DDQ, Very Low Voltage, CMOS, Bridging Defect
18Benton H. Calhoun, Alice Wang, Naveen Verma, Anantha P. Chandrakasan Sub-threshold design: the challenges of minimizing circuit energy. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low voltage memory, sub-threshold digital circuits, sub-threshold logic, process variations, dynamic voltage scaling
18Bo Fu, Qiaoyan Yu, Paul Ampadu Energy-delay minimization in nanoscale domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay, energy, leakage, low voltage, domino
18Sergio Gagliolo, Giacomo Pruzzo, Daniele D. Caviglia Phase noise performances of a cross-coupled CMOS VCO with resistor tail biasing. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF resistor biasing, low power, wireless, CMOS, low voltage, voltage controlled oscillator (VCO), phase noise
18Stephen C. Terry, Mohammad M. Mojarradi, Benjamin J. Blalock, Jesse A. Richmond Adaptive gate biasing: a new solution for body-driven current mirrors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SOI analog, body driving, current mirrors, ultra-low-voltage analog circuit design
18Edgar Mauricio Camacho-Galeano, Carlos Galup-Montoro, Márcio C. Schneider An ultra-low-power self-biased current reference. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inversion level, self-cascode MOSFET, design methodology, low-voltage, ultra-low-power, current reference
18Brian Moore 0001, Christopher J. Backhouse, Martin Margala Design of Wireless Sub-Micron Characterization System. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Communication, Low-power-design, CMOS, Faults, Low-voltage, Mixed-signal
18Robert M. Senger, Eric D. Marsman, Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Matthew R. Guthaus, Richard B. Brown A 16-bit mixed-signal microsystem with integrated CMOS-MEMS clock reference. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low voltage analog, varactor, low power, SoC, system-on-chip, design methodology, MEMS, microcontroller, ADC, mixed-signal, PGA, microsystem, SD, inductor, clock generation
18Takeshi Fukumoto, Hiroyuki Okada, Kazuyuki Nakamura Optimizing bias-circuit design of cascode operational amplifier for wide dynamic range operations. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bias-circuit, cascode, dynamic, CMOS, analog, low voltage
18Kwang-Bo Cho, Alexander Krymski, Eric R. Fossum A 3-pin 1.5 V 550 mW 176 x 144 self-clocked CMOS active pixel image sensor. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF self-clocked, low-power, CMOS, image sensor, low-voltage, active pixel sensor
18Dejan Markovic, Borivoje Nikolic, Robert W. Brodersen Analysis and design of low-energy flip-flops. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF digital CMOS, VLSI, low-power design, flip-flops, low-voltage
18Alain-Serge Porret, Thierry Melly, Eric A. Vittoz, Christian C. Enz Tradeoffs and design of an ultra low power UHF transceiver integrated in a standard digital CMOS process. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, CMOS, low-voltage, RF, transceiver
18Martin Margala Low-Power SRAM Circuit Design. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design, VLSI, low-power, SRAM, low-voltage
18Fuyuki Ichiba, Kojiro Suzuki, Shinji Mita, Tadahiro Kuroda, Tohru Furuyama Variable supply-voltage scheme with 95%-efficiency DC-DC converter for MPEG-4 codec. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF DC-DC, variable supply voltage, low power, low voltage, PWM
18Thierry Melly, Alain-Serge Porret, Christian C. Enz, Maher Kayal, Eric A. Vittoz A 1.2V, 430MHz, 4dBm power amplifier and a 250muW front-end, using a standard digital CMOS process. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF UHF band, flicker noise, low noise amplifier (LNA), power amplifier (PA), low power, low voltage, mixer
18Vijay R. Sar-Dessai, D. M. H. Walker Accurate Fault Modeling and Fault Simulation of Resistive Bridges. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF realistic bridges, zero-ohm bridges, Resistive bridging faults, low-voltage testing
18Abu Khari bin A'Ain, A. H. Bratt, A. P. Dorey Testing Analogue Circuits by A C Power Supply Voltage. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analogue test, Fault model, low voltage test
17Saleha Bano, Ghous Bakhsh Narejo, Syed Muhammad Usman Ali Shah Low Voltage Low Power Single Ended Operational Transconductance Amplifier for Low Frequency Applications. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
17G. Hanumanta Rao, S. Rekha Low Voltage, Low Power Gm -C Filter for Low Frequency Applications. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Kaori Matsumoto, Tetsuya Hirose, Hiroki Asano, Yuto Tsuji, Yuichiro Nakazawa, Nobutaka Kuroki, Masahiro Numa An ultra-low power active diode using a hysteresis common gate comparator for low-voltage and low-power energy harvesting systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17G. Hanumanta Rao, S. Rekha Low Voltage, Low Power Transconductor for Low Frequency G_m -C Filters. Search on Bibsonomy VDAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Manoj Kumar Tiwari, Mohd. Rizvi Low cost low power POR circuit for low voltage sensing using adaptive bulk biasing. Search on Bibsonomy ICIIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yasuhiro Ogasahara, Tadashi Nakagawa, Toshihiro Sekigawa, Toshiyuki Tsutsumi, Hanpei Koike Impacts of flexible Vth control, low process variability, and steep SS with low on-current of new structure transistors to ultra-low voltage designs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Mohammad Hossein Maghami, Amir M. Sodagar Low-Power, Low-voltage, Dual-output, Second Generation Current Conveyor and its Application in Low-Pass filter Design. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Hassan Moradzadeh, Seyed Javad Azhari Low-voltage low-power rail-to-rail low-Rx wideband second generation current conveyor and a single resistance-controlled oscillator based on it. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Josef Bajer, Jiri Vavra, Dalibor Biolek, Karel Hajek Low-distortion current-mode quadrature oscillator for low-voltage low-power applications with non-linear non-inertial automatic gain control. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jonathan Borremans, Julien Ryckaert, Claude Desset, Maarten Kuijk, Piet Wambacq, Jan Craninckx A Low-Complexity, Low-Phase-Noise, Low-Voltage Phase-Aligned Ring Oscillator in 90 nm Digital CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jordi Colomer-Farrarons, Pedro Lluís Miribel-Català, Alberto Saiz-Vela, Josep Samitier A 60 µW low-power low-voltage power management unit for a self-powered system based on low-cost piezoelectric powering generators. Search on Bibsonomy ESSCIRC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jonathan Borremans, Julien Ryckaert, Piet Wambacq, Maarten Kuijk, Jan Craninckx A low-complexity, low phase noise, low-voltage phase-aligned ring oscillator in 90 nm digital CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Giuseppe de Vita, Francesco Marraccini, Giuseppe Iannaccone Low-Voltage Low-Power CMOS Oscillator with Low Temperature and Process Sensitivity. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Aimad El Mourabit, Guo-Neng Lu, Patrick Pittet Wide-Linear-Range Subthreshold OTA for Low-Power, Low-Voltage, and Low-Frequency Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Gabriel A. Rincón-Mora, Phillip E. Allen A low-voltage, low quiescent current, low drop-out regulator. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Josef Fenk, Peter Sehrig Low-noise, low-voltage, low-power IF gain controlled amplifiers for wireless communication. Search on Bibsonomy Wirel. Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Pong-Fei Lu, Nianzheng Cao, Leon J. Sigal, Pieter Woltgens, Raphael Robertazzi, David F. Heidel A pulsed low-voltage swing latch for reduced power dissipation in high-frequency microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pulse latch, low-power, latch
17Anand Pappu, Tao Yin, Alyssa B. Apsel A low-voltage supply optoelectronic detector-receiver in a commercial silicon-based process. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A micropower low-voltage multiplier with reduced spurious switching. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Tong Ge, Meng Tong Tan, Joseph Sylvester Chang Design and analysis of a micropower low-voltage bang-bang control class D amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Bruno Vaz, João Goes, R. Piloto, J. Neto, Rui Monteiro, Nuno Paulino 0002 A low-voltage 3 mW 10-bit 4MS/s pipeline ADC in digital CMOS for sensor interfacing. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Saeid Mehrmanesh, Mohammad B. Vahidfar, Hesam Amir Aslanzadeh, Seyed Mojtaba Atarodi An ultra low-voltage Gm-C filter for video applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Christophe Frey, F. Genevaux, C. Issartel, D. Turgis, Jean-Pierre Schoellkopf A Low Voltage Embedded Single Port SRAM Generator in a 0.18µm Standard CMOS Process. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 3403 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license