The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Yoshiki Takai, Mamoru Fukuchi, Chihiro Matsui, Reika Kinoshita, Ken Takeuchi Analysis on Hybrid SSD Configuration with Emerging Non-Volatile Memories Including Quadruple-Level Cell (QLC) NAND Flash Memory and Various Types of Storage Class Memories (SCMs). Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Vamsee Reddy Kommareddy, Baogang Zhang, Fan Yao, Rickard Ewetz, Amro Awad Are Crossbar Memories Secure? New Security Vulnerabilities in Crossbar Memories. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Chihiro Matsui, Ken Takeuchi Design of heterogeneously-integrated memory system with storage class memories and NAND flash memories. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Pierre G. Gianferrara, Marlieke van Kesteren, Martijn Meeter Reinstatement of Old Memories and Integration with New Memories. Search on Bibsonomy CogSci The full citation details ... 2019 DBLP  BibTeX  RDF
21Asil Kaan Bozcuoglu Fast Robot Learning using Prospection and Experimental Knowledge : A Cognitive Approach with Narrative-Enabled Episodic Memories and Symbolic Knowledge (Schnelles Roboterlernen durch Prospektion und experimentelles Wissen : ein kognitiver Ansatz mit Narrative-Enabled Episodic Memories und symbolischem Wissen) Search on Bibsonomy 2019   RDF
21Rachel Zuanon, Melissa Ramos da Silva Oliveira, Haroldo Gallo, Cláudio Lima Ferreira Drawing Memories: Intersections Between the Sites of Memory and the Memories of Places. Search on Bibsonomy HCI (17) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Hiroki Shirakawa, Keita Yamaguchi, Masaaki Araidai, Katsumasa Kamiya, Kenji Shiraishi Possibility of Metal-Oxide-Nitride-Oxide-Semiconductor Memories for Long Lifespan Archive Memories. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Felipe L. Teixeira, Maurício L. Pilla, André Rauber Du Bois, Daniel Mossé Impact of Version Management for Transactional Memories on Phase-Change Memories. Search on Bibsonomy SBAC-PAD (Workshops) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Tetsuo Endoh, Hiroki Koike, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno An Overview of Nonvolatile Emerging Memories - Spintronics for Working Memories. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Fabrice Guet, Luca Santinelli, Jérôme Morio Probabilistic analysis of cache memories and cache memories impacts on multi-core embedded systems. Search on Bibsonomy SIES The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Wooheon Kang, Hyungjun Cho, Joohwan Lee, Sungho Kang A BIRA for Memories With an Optimal Repair Rate Using Spare Memories for Area Reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Nathan Burles, Simon O'Keefe, James Austin, Stephen Hobson ENAMeL: A Language for Binary Correlation Matrix Memories - Reducing the Memory Constraints of Matrix Memories. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Hsin-Jung Yang, Kermin Fleming, Michael Adler, Joel S. Emer LEAP Shared Memories: Automating the Construction of FPGA Coherent Memories. Search on Bibsonomy FCCM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Gyula O. H. Katona Memories on Shadows and Shadows of Memories. Search on Bibsonomy The Mathematics of Paul Erdős II The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Peter Stephan, Markus Eich, Jörg Neidig, Martin Rosjat, Roberto Hengst Applying Digital Product Memories in Industrial Production. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Marc Seißler, Peter Stephan, Jochen Schlick, Ines Dahmann DPM Mapper: A Concept to Bridge the Gap Between XML-Based Digital Product Memories and Their Binary Representation. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Gerrit Kahl, Carsten Magerkurth, Jörg Preißinger, Patrick Gebhard, Benjamin Weyl Enhancement of Consumer Support in Retail Scenarios by Utilization of Semantic Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Jörg Neidig Using Basic RFID-Based Digital Product Memories for Protection against Counterfeit Goods in Manufacturing Plants. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Jens Haupert, Michael Schneider 0003 The Object Memory Server for Semantic Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Bruno Kiesel, Jörg Neidig The Block Interface: Accessing Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Michael Schmitz 0001, Boris Brandherm, Jörg Neidig, Stefanie Schachtl, Matthias Schuster Interaction Modalities for Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Johannes Lemburg, Dennis Mronga, Achint Aggarwal, Jose de Gea Fernandez, Marc Ronthaler, Frank Kirchner A Robotic Platform for Building and Exploiting Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Gerrit Meixner, Alexander Kröner, Gerrit Kahl A Summary of End-User Feedback on Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Sven Horn, Barbara Schennerlein, Anne Pförtner, Thorbjørn Hansen Distributed Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Alexander Kröner, Jens Haupert, Jose de Gea Fernandez, Rainer Steffen, Christian Kleegrewe, Martin Schneider Supporting Interaction with Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Gerd Herzog, Alexander Kröner Towards an Integrated Framework for Semantic Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Patrick Gebhard Controlling Interaction with Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Jörg Neidig Hardware Requirements for Digital Product Memories. Search on Bibsonomy SemProM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Susanne Diekelmann, Ines Wilhelm, Ullrich Wagner, Jan Born Elevated Cortisol at Retrieval Suppresses False Memories in Parallel with Correct Memories. Search on Bibsonomy J. Cogn. Neurosci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Michiko Sakaki, Kazuhisa Niki, Mara Mather Updating Existing Emotional Memories Involves the Frontopolar/Orbito-frontal Cortex in Ways that Acquiring New Emotional Memories Does Not. Search on Bibsonomy J. Cogn. Neurosci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Nathalie Basselin, Alexander Kröner From Personal Memories to Sharable Memories. Search on Bibsonomy LWA The full citation details ... 2006 DBLP  BibTeX  RDF
21Jeannette Allis Bastian, Gregory Colati, Elizabeth Yakel, Kelly S. Drake Digital memories/mediated memories: Influences on the creation of archival and cultural web sites. Search on Bibsonomy ASIST The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Roberto Antonio Vázquez Espinoza de los Monteros, Juan Humberto Sossa Azuela A Bidirectional Hetero-Associative Memory for True-Color Patterns. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pattern recognition, Dynamical synapses, Bidirectional associative memories
19Marc González 0001, Nikola Vujic, Xavier Martorell, Eduard Ayguadé, Alexandre E. Eichenberger, Tong Chen 0001, Zehra Sura, Tao Zhang, Kevin O'Brien, Kathryn M. O'Brien Hybrid access-specific software cache techniques for the cell BE architecture. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory classification, OpenMP, compiler optimizations, local memories, software cache
19Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Eugene Gorbatov, Howard David, Zhao Zhang 0010 Software thermal management of dram memory for multicore systems. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal management, DRAM memories
19Rui M. Jesus, Ricardo J. Dias, Rute Frias, Arnaldo J. Abrantes, Nuno Correia 0001 Memoria mobile: sharing pictures of a point of interest. Search on Bibsonomy AVI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multimedia information retrieval, mobile user interfaces, personal memories
19Gerasimos G. Rigatos Stochastic Processes in Machine Intelligence: Neural Structures Based on the Model of the Quantum Harmonic Oscillator. Search on Bibsonomy ICQNM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF quantum harmonic oscillator, Schr¨odinger’s equation, Langevin’s equation, quantum associative memories, diffusion, attractors, Wiener process
19Liadh Kelly Context and linking in retrieval from personal digital archives. Search on Bibsonomy SIGIR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF human digital memories, personal information management, context-based retrieval
19Ricardo J. Dias, Rui M. Jesus, Rute Frias, Nuno Correia 0001 Mobile interface of the memoria project. Search on Bibsonomy SIGIR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multimedia information retrieval, mobile user interfaces, personal memories
19Seungwon Yang, Ben Congleton, George Luc, Manuel A. Pérez-Quiñones, Edward A. Fox Demonstrating the use of a SenseCam in two domains. Search on Bibsonomy JCDL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MyLifeBits, ubiquitous computing, personal memories
19Xavier Vera, Jaume Abella 0001, Josep Llosa, Antonio González 0001 An accurate cost model for guiding data locality transformations. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic algorithms, Cache memories, tiling, padding
19Michimune Kohno, Jun Rekimoto Searching common experience: a social communication tool based on mobile ad-hoc networking. Search on Bibsonomy Mobile HCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ad hoc computing, co-locate communication, common memories, synchronized shutters, social networking, photo sharing
19Prassanna Sithambaram, Alberto Macii, Enrico Macii Exploring the impact of architectural parameters on energy efficiency of application-specific block-enabled SRAMs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DBL, DWL, partitioning, embedded, memories, SRAM, application-specific
19Lotfi Admane A generic model of corporate memory: application to the industrial systems. Search on Bibsonomy K-CAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ReCaRo, meta-model, corporate memories, re-use
19Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SRAM memories, VDSM technologies, core-cell, test, march test, dynamic faults, defect analysis
19Xavier Vera, Nerina Bermudo, Josep Llosa, Antonio González 0001 A fast and accurate framework to analyze and optimize cache memory behavior. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, sampling, Cache memories
19Max H. Garzon, Derrel Blain, Kiranchand V. Bobba, Andrew Neel, Michael West Self-Assembly of DNA-like Structures In Silico. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF online genetic algorithms, DNA-based associative memories, efficiency of DNA computing, reaction kinetics in DNA-based computational protocols, Hamiltonian path problem
19Oleg Bessonov, Dominique Fougère, Bernard Roux Analysis of Architecture and Design of Linear Algebra Kernels for Superscalar Processors. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF linear algebra kernels, LINPACK benchmark, performance measurements, instruction level parallelism, cache memories, microarchitecture, out-of-order processors
19Zhigang Hu, Stefanos Kaxiras, Margaret Martonosi Let caches decay: reducing leakage energy via exploitation of cache generational behavior. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF generational behavior, Cache memories, leakage power, cache decay
19Mahmut T. Kandemir, J. Ramanujam, Alok N. Choudhary Exploiting shared scratch pad memory space in embedded multiprocessor systems. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data tiles, memories, compiler optimizations, energy consumption, access patterns, scratch pad, embedded multiprocessors
19Wieslaw Citko, Andrzej Luksza, Wieslaw Sienko Chaos Generators for CDMA Communication Using Neural Networks. Search on Bibsonomy IJCNN (5) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Chaotic associative memories, Chaos generators, Chaos-based communication systems
19Mirjam Minor, Alexandre Hanft The Life Cycle of Test Cases in a CBR System. Search on Bibsonomy EWCBR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF knowledge acquisition, maintenance, Case-based reasoning, corporate memories
19Alexis Vartanian, Jean-Luc Béchennec, Nathalie Drach-Temam Two Schemes to Improve the Performance of a Sort-Last 3D Parallel Rendering Machine with Texture Caches. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF texture mapping, Cache memories, parallel rendering, multiprocessing, application specific architecture
19Jürgen Teich, Tobias Blickle, Lothar Thiele An evolutionary approach to system-level synthesis. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF architecture selection, cost constraints, graph-based mapping model, heterogeneous hardware/software architecture, optimal mapping, performance constraints, task-level specification mapping, video-codec implementations, genetic algorithms, scheduling, memories, ASICs, design space exploration, allocation, optimization problem, binding, buses, system-level synthesis, evolutionary approach, algorithm mapping, general-purpose processors, dedicated processors
19Frédéric Pétrot, Denis Hommais, Alain Greiner Cycle precise core based hardware/software system simulation with predictable event propagation. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware software system simulation, predictable event propagation, cycle precise core based system simulator, digital embedded systems, Mealy signals, combinational signals, MIPS R3000, microprocessor core, PI-Bus, Pentium 120, communication, graph, C, high level synthesis, memories, directed graph, FSM, topological sort, compile-time, communicating finite state machines
19José V. Busquets-Mataix, Juan José Serrano, Rafael Ors, Pedro J. Gil, Andy J. Wellings Using harmonic task-sets to increase the schedulable utilization of cache-based preemptive real-time systems. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF harmonic task-sets, schedulable utilization, preemptive real-time systems, better performance, cache-related preemption cost, Response Time schedulability Analysis, real-time systems, cache memories, worst-case execution time, schedulability analysis
19Injong Rhee Optimizing a FIFO, scalable spin lock using consistent memory. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF FIFO optimization, scalable spin lock, consistent memory, FIFO queue based scalable spin lock, non atomic read, atomic swap operation, timing guarantee, scalable mutual exclusion problem, NUMA architectures, FSSL algorithm, write operations, non atomic memory operations, weakly consistent memories, multiprocessors, shared memory systems, real time applications, atomic operations
19Christian Piguet, Thierry Schneider, Jean-Marc Masgonty, Claude Arm, Serge Durand, M. Stegers Low-Power Embedded Microprocessor Design. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low-power embedded microprocessor design, low-power RISC-like architectures, gated clock techniques, power savings, microprocessor chips, CMOS technology, hierarchical memories, clock cycles
19Antonio J. Acosta 0001, Manuel J. Bellido, Manuel Valencia-Barrero, Angel Barriga, Raúl Jiménez, José L. Huertas New CMOS VLSI linear self-timed architectures. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF semiconductor storage, CMOS VLSI linear self-timed architectures, digital signal processor circuits, self-timed techniques, synchronous VLSI circuits, FIFO memories, VLSI, asynchronous circuits, asynchronous circuits, digital signal processing chips, CMOS memory circuits, hardware resources
19Jelica Protic, Milo Tomasevic, Veljko M. Milutinovic A survey of distributed shared memory systems. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shared memory programming paradigm, physically distributed memories, classification taxonomy, classification criteria, DSM mechanism, hybrid DSM implementations, distributed systems, parallel programming, shared memory multiprocessors, shared memory systems, distributed memory systems, distributed shared memory systems, DSM systems
19David M. Koppelman Reducing PE/Memory Traffic in Multiprocessors by the Difference Coding of Memory Addresses. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF memory traffic, difference coding, memory addresses, shared memory parallel computer, trace-drivensimulation, traffic volume, lower cost, lower latency network, networklatency, virtual machines, multiprocessors, message passing, multiprocessor interconnection networks, memories, shared memory systems, storage management, buffer storage, processing elements, coherent cache
19Isaac D. Scherson Orthogonal Graphs for the Construction of a Class of Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF multidimensional access memories, graph theoretical representation, orthogonal binary vectors, link modes, node covering problem, binary m-cube, spanning-bus meshes, orthogonal shared memorymultiprocessing systems, interconnection networks, graph theory, connectivity, placement, bipartite graphs, multiprocessor interconnectionnetworks
18Thomas Wennekers On the Natural Hierarchical Composition of Cliques in Cell Assemblies. Search on Bibsonomy Cogn. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Clique codes, Formal concept analysis, Associative memory, Bio-inspired computing, Cell assemblies
18Shu Li, Tong Zhang 0002 Improving multi-level NAND flash memory storage reliability using concatenated TCM-BCH coding. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerance, nand flash, tcm
18Hassan A. Salamy, J. Ramanujam A Framework for Task Scheduling and Memory Partitioning for Multi-Processor System-on-Chip. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Ping Zhou, Bo Zhao 0007, Jun Yang 0002, Youtao Zhang A durable and energy efficient main memory using phase change memory technology. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, phase change memory, endurance
18Lushan Liu, Pradeep Nagaraj, Shambhu J. Upadhyaya, Ramalingam Sridhar Defect Analysis and Defect Tolerant Design of Multi-port SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-port SRAMs, Defect/fault tolerant design, Defect analysis
18Hiroshige Hayashizaki, Yutaka Sugawara, Mary Inaba, Kei Hiraki MCAMP: communication optimization on massively parallel machines with hierarchical scratch-pad memory. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GRAPE-DR, copy candidates, scratch-pad memory management, memory hierarchy, massively parallel architecture
18Liadh Kelly, Yi Chen 0017, Marguerite Fuller, Gareth J. F. Jones A study of remembered context for information access from personal digital archives. Search on Bibsonomy IIiX The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Taewhan Kim, Jungeun Kim Integration of Code Scheduling, Memory Allocation, and Array Binding for Memory-Access Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Gary Gréwal, Stelian Coros, Dilip K. Banerji, Andrew Morton Assigning data to dual memory banks in DSPs with a genetic algorithm using a repair heuristic. Search on Bibsonomy Appl. Intell. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory assignment, Repair method, Genetic algorithm, Multi-Objective optimization, Embedded processors
18Gonzalo Urcid, Gerhard X. Ritter Noise Masking for Pattern Recall Using a Single Lattice Matrix Associative Memory. Search on Bibsonomy Computational Intelligence Based on Lattice Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Minako Kubo, Akihiko Kodama, Etsushi Takaishi, Rikio Chiba, Keita Watanabe, Michiaki Yasumura Interactive Design of Memory Sharing Applications for Families. Search on Bibsonomy HCI (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF application platform, memory, home, ubiquitous interface
18Johan Ditmar, Steve McKeever Array Synthesis in SystemC Hardware Compilation. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Rosanna Bova, Hye-Young Paik, Salima Hassas, Salima Benbernou, Boualem Benatallah WS-Advisor: A Task Memory for Service Composition Frameworks. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz, Sudhakar M. Reddy Semi-Concurrent On-Line Testing of Transition Faults Through Output Response Comparison of Identical Circuits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Koichiro Yamauchi 0001, Masayoshi Sato Incremental Learning of Spatio-temporal Patterns with Model Selection. Search on Bibsonomy ICANN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RBF, model selection, incremental learning, spatio-temporal patterns
18Takashi Saeki, Tsutomu Miki Effectiveness of Scale Free Network to the Performance Improvement of a Morphological Associative Memory without a Kernel Image. Search on Bibsonomy ICONIP (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF recall rate, scale free, Morphological associative memory
18Aaron J. Gruber, Peter Dayan, Boris S. Gutkin, Sara A. Solla Dopamine modulation in the basal ganglia locks the gate to working memory. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Spiny neuron, Phasic release, Attention, Salience
18Eero Aho, Jarno Vanne, Timo D. Hämäläinen Parallel Memory Implementation for Arbitrary Stride Accesses. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Tsu-Wei Tseng, Jin-Fu Li 0001, Da-Ming Chang A built-in redundancy-analysis scheme for RAMs with 2D redundancy using 1D local bitmap. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Dionisios N. Pnevmatikatos, Aggelos Arelakis Variable-Length Hashing for Exact Pattern Matching. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kiranchand V. Bobba, Andrew Neel, Vinhthuy T. Phan, Max H. Garzon "Reasoning" and "Talking" DNA: Can DNA Understand English? Search on Bibsonomy DNA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Semantic analysis and information retrieval, DNA chips and microarrays, sensitivy analysis, data classification and discrimination, question answering
18Peter Muhmenthaler New on-Chip DFT and ATE Features for Efficient Embedded Memory Test. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ilie I. Luican, Hongwei Zhu 0001, Florin Balasa Formal model of data reuse analysis for hierarchical memory organizations. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Anders Hall, Dragan Bosevski, Reinell Larkin Blogging by the dead. Search on Bibsonomy NordiCHI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ubiquitous computing, mobile, GIS, social computing
18Yu-Jen Huang, Da-Ming Chang, Jin-Fu Li 0001 A Built-In Redundancy-Analysis Scheme for Self-Repairable RAMs with Two-Level Redundancy. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ozcan Ozturk 0001, Mahmut T. Kandemir Data Replication in Banked DRAMs for Reducing Energy Consumption. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shibaji Banerjee, Dipanwita Roy Chowdhury Built-In Self-Test for Flash Memory Embedded in SoC. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jin-Fu Li 0001, Jen-Chieh Yeh, Rei-Fu Huang, Cheng-Wen Wu A built-in self-repair design for RAMs with 2-D redundancy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Michael Nicolaidis, Lorena Anghel, Nadir Achouri Memory Defect Tolerance Architectures for Nanotechnologies. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF word repair, nanotechnologies, BISR, memory repair, high defect densities
18Guilin Chen, Guangyu Chen, Ozcan Ozturk 0001, Mahmut T. Kandemir Exploiting Inter-Processor Data Sharing for Improving Behavior of Multi-Processor SoCs. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Lars Wehmeyer, Peter Marwedel Influence of Memory Hierarchies on Predictability for Time Constrained Embedded Software. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Wolfgang Heenes, Rolf Hoffmann, Sebastian Kanthak FPGA Implementations of the Massively Parallel GCA Model. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yusei Tsuboi, Zuwairie Ibrahim, Osamu Ono Semantic Model for Circular DNA-Based Memory. Search on Bibsonomy WSTST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jungeun Kim, Taewhan Kim Memory access optimization through combined code scheduling, memory allocation, and array binding in embedded system design. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, binding, memory access
18Kanad Chakraborty Testing and Reliability Techniques for High-Bandwidth Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiport RAM, BIST (built-in self-test), BISR (built-in self-repair), column-multiplexed addressing, fault tolerance, reliability, bandwidth
18Jean Michel Daga, Caroline Papaix, Marylene Combe, Emmanuel Racape, Vincent Sialelli Embedded EEPROM Speed Optimization Using System Power Supply Resources. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Armin Wellig, Julien Zory, Norbert Wehn Energy- and Area-Efficient Deinterleaving Architecture for High-Throughput Wireless Applications. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Saman Adham, Benoit Nadeau-Dostie A BIST Algorithm for Bit/Group Write Enable Faults in SRAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 5730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license