The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for HDL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1992 (15) 1993-1995 (21) 1996-1997 (34) 1998 (22) 1999 (30) 2000 (41) 2001 (23) 2002 (30) 2003 (44) 2004 (39) 2005 (53) 2006 (57) 2007 (50) 2008 (40) 2009 (21) 2010 (24) 2011-2012 (24) 2013 (15) 2014-2015 (20) 2016-2017 (24) 2018-2019 (23) 2020-2021 (25) 2022 (18) 2023-2024 (16)
Publication types (Num. hits)
article(113) book(2) incollection(3) inproceedings(586) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 429 occurrences of 291 keywords

Results
Found 709 publication records. Showing 709 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Tamio Hoshino UDL/I version Two: A New Horizon of HDL Standards. Search on Bibsonomy CHDL The full citation details ... 1993 DBLP  BibTeX  RDF
19Felice Balarin, Gary York Verilog HDL Modeling Styles for Formal Verification. Search on Bibsonomy CHDL The full citation details ... 1993 DBLP  BibTeX  RDF
19Gustavo R. Alves, Manuel G. Gericota, José L. Ramalho, José Manuel Martins Ferreira An HDL approach to board-level BIST. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Frank Buijs ALU synthesis from HDL descriptions to optimized multi-level logic. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Timothy Kam, P. A. Subrahmanyam Comparing Layouts with HDL Models: A Formal Verification Technique. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Ryszard F. Gajda, Miroslaw Thor, Marek Tudruj Enhancing a control graph based HDL for performance evaluation of simulated architectures. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Daniel Fischer, Yossi Levhari, Gadi Singer NETHDL: abstraction of schematics to high-level HDL. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Auli Reinikka, Antti Auer, Ari Okkonen Automatic synthesis of structural HDL descriptions from graphic specification of embedded asics. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Sumit Ghosh Using Ada as an HDL. Search on Bibsonomy IEEE Des. Test The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19James B. Rawlings VHSIC HDL. Search on Bibsonomy DAC The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
19Sajjan G. Shiva Combinational logic synthesis from an HDL description. Search on Bibsonomy DAC The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
10Brahim Al Farisi, Karel Bruneel, Harald Devos, Dirk Stroobandt Automatic tool flow for shift-register-LUT reconfiguration: making run-time reconfiguration fast and easy (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parameterizable configurations, tmap, fpga, reconfigurable computing, run-time reconfiguration, srl, icap
10Nicola Bombieri, Franco Fummi, Graziano Pravadelli Abstraction of RTL IPs into embedded software. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF RTL IP reuse, embedded software generation
10ByongChan Lim, Jaeha Kim, Mark A. Horowitz An efficient test vector generation for checking analog/mixed-signal functional models. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF linear abstraction, validation, equivalence checking, verilog, functional model, test vector, mixed-signal circuits
10Petr Kobierský, Jan Korenek, Libor Polcak Packet header analysis and field extraction for multigigabit networks. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Per Karlström, Dake Liu NoGAP: A Micro Architecture Construction Framework. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Warren A. Hunt Jr., Sol Swords Centaur Technology Media Unit Verification. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Stephen Jang, Dennis Wu, Mark Jarvin, Billy Chan, Kevin Chung, Alan Mishchenko, Robert K. Brayton SmartOpt: an industrial strength framework for logic synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF abc, blif, edge flow, smartopt, fpga, interface, technology mapping
10Junguk Cho, Shahnam Mirzaei, Jason Oberg, Ryan Kastner Fpga-based face detection system using Haar classifiers. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF haar classifier, fpga, image processing, real-time, architecture, face detection, adaboost
10Carlos Ivan Castro Marquez, Marius Strum, Jiang Chau Wang A PD-based methodology to enhance efficiency in testbenches with random stimulation. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parameter domains, system-on-chip, design methodologies, functional verification, coverage analysis
10Jaeyoung Yi, Karam Park, Joonseok Park, Won Woo Ro Fully Pipelined Hardware Implementation of 128-Bit SEED Block Cipher Algorithm. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Block Cipher Algorithm, Field Programmable Gate Arrays (FPGA), Cryptography, SEED
10Jack Whitham, Neil C. Audsley, Martin Schoeberl Using hardware methods to improve time-predictable performance in real-time Java systems. Search on Bibsonomy JTRES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Balaji V. Iyer, Thomas M. Conte On power and energy trends of IEEE 802.11n PHY. Search on Bibsonomy MSWiM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power and energy characterization, IEEE 802.11n
10Gongyu Wang, Greg Stitt, Herman Lam, Alan D. George A framework for core-level modeling and design of reconfigurable computing algorithms. Search on Bibsonomy HPRCTA@SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Zhi Guo, Walid A. Najjar, Betul Buyukkurt Efficient hardware code generation for FPGAs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, high-level synthesis, VHDL, Reconfigurable computing, data reuse
10Bingfeng Mei, Bjorn De Sutter, Tom Vander Aa, M. Wouters, Andreas Kanstein, Steven Dupont Implementation of a Coarse-Grained Reconfigurable Media Processor for AVC Decoder. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF H.264/AVC decoder, FPGA, multimedia, embedded system, reconfigurable architecture, VLIW
10Chun-Lung Hsu, Yu-Sheng Huang A Fast-Deblocking Boundary-strength Based Architecture Design of Deblocking Filter in H.264/AVC Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FDBS, H.264/AVC, PSNR, bit-rate, deblocking filter
10Kuo-Kai Shyu, Ming-Huan Lee, Yu-Te Wu, Po-Lei Lee Implementation of Pipelined FastICA on FPGA for Real-Time Blind Source Separation. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Bernhard Peischl, Naveed Riaz, Franz Wotawa Advances in Automated Source-Level Debugging of Verilog Designs. Search on Bibsonomy New Challenges in Applied Intelligence Technologies The full citation details ... 2008 DBLP  DOI  BibTeX  RDF debugging of hardware designs, multiple testcases, model-based diagnosis, software debugging
10Yazmín Maldonado, Oscar Montiel, Roberto Sepúlveda, Oscar Castillo 0001 Design and Simulation of the Fuzzification Stage through the Xilinx System Generator. Search on Bibsonomy Soft Computing for Hybrid Intelligent Systems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Christian Haufe, Frank Rogin Ad-Hoc Translations to Close Verilog Semantics Gap. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jaume Joven, Oriol Font-Bach, David Castells-Rufas, Ricardo Martínez, Lluís Terés, Jordi Carrabina xENoC - An eXperimental Network-On-Chip Environment for Parallel Distributed Computing on NoC-based MPSoC Architectures. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC-based MPSoCs, Message Passing Interface, Distributed Programming, Design Tools and Techniques
10Topon Kumar Paul, Ken Ueno, Koichiro Iwata, Toshio Hayashi, Nobuyoshi Honda Genetic Algorithm Based Methods for Identification of Health Risk Factors Aimed at Preventing Metabolic Syndrome. Search on Bibsonomy SEAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF unbalanced data, metabolic syndrome, RPMBGA+, AUC balanced, classification, Feature selection, fitness evaluation
10Mohammad Reza Kakoee, Mohammad Riazati, Siamak Mohammadi Generating RTL Synthesizable Code from Behavioral Testbenches for Hardware-Accelerated Verification. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mehdi Dehbashi, Vahid Lari, Seyed Ghassem Miremadi, Mohammad Shokrollah-Shirazi Fault Effects in FlexRay-Based Networks with Hybrid Topology. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FlexRay Protocol, Fault Injection, Error Propagation, Distributed Embedded Systems, Dependability Evaluation
10Norio Yamagaki, Reetinder P. S. Sidhu, Satoshi Kamiya High-speed regular expression matching engine using multi-character NFA. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Ruchika Verma, Ali Akoglu A coarse grained and hybrid reconfigurable architecture with flexible NoC router for variable block size motion estimation. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Miaoqing Huang, Kris Gaj, Soonhak Kwon, Tarek A. El-Ghazawi An Optimized Hardware Architecture for the Montgomery Multiplication Algorithm. Search on Bibsonomy Public Key Cryptography The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MWR2MM Algorithm, Field Programmable Gate Arrays, Montgomery Multiplication
10Armando Astarloa, Unai Bidarte, Jaime Jimenez, Jesús Lázaro 0001, Iñigo Martínez de Alegría Secure Ethernet Point-to-Point Links for Autonomous Electronic Ballot Boxes. Search on Bibsonomy ATC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kok-Leong Chang, Yao Zhu, Bah-Hwee Gwee De-synchronization of a point-of-sales digital-logic controller. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10J. Divyasree, H. Rajashekar, Kuruvilla Varghese Dynamically reconfigurable regular expression matching architecture. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Koji Nakano, Kensuke Kawakami, Koji Shigemoto, Yuki Kamada, Yasuaki Ito A Tiny Processing System for Education and Small Embedded Systems on the FPGAs. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hiroyuki Torikai, Sho Hashimoto A hardware-oriented learning algorithm for a digital spiking neuron. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Masaya Ohta, Keiichi Mizutani, Naoki Fujita, Katsumi Yamashita Complexity suppression of neural networks for PAPR reduction of OFDM signal and its FPGA implementation. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Shahid Rizwan Retimed Decomposed Serial Berlekamp-Massey (BM) Architecture for High-Speed Reed-Solomon Decoding. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mohammed Shareef I, Pradeep Nair, Bharadwaj Amrutur Energy Reduction in SRAM using Dynamic Voltage and Frequency Management. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Delay Monitor, DVFM, Pareto optimal curve, Replica circuits, SRAM, Energy reduction, Energy monitor
10Maxim Leonov, Vyacheslav V. Kitaev Feasibility Study of Implementing Multi-Channel Correlation for DSP Applications on Reconfigurable CPU+FPGA Platform. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Xiang Xiao, Jaehwan John Lee A Novel Parallel Deadlock Detection Algorithm and Hardware for Multiprocessor System-on-a-Chip. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Maria Stepanova, Feng Lin 0002, Valerie C.-L. Lin A Hopfield Neural Classifier and Its FPGA Implementation for Identification of Symmetrically Structured DNA Motifs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DNA motifs, sequence structure identification, hopfield classifier, field-programmable gate arrays, recurrent neural network
10Sandip Ray, Warren A. Hunt Jr. Mechanized Certification of Secure Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10B. Lorente, Raul Aragonés, Joan Oliver, Carles Ferrer 0001 Behavioural modelling and simulation for heterogeneous design applied to aerospace inertial microinstrumentation development. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF smart inertial sensors, UML, design methodology, behavioral modeling, distributed architecture, VHDL-AMS
10Ilker Hamzaoglu, Ozgur Tasdizen, Esra Sahin An efficient H.264 intra frame coder system design. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ioannis Mavroidis, Ioannis Papaefstathiou Efficient testbench code synthesis for a hardware emulator system. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shireesh Verma, Ian G. Harris, Kiran Ramineni Interactive presentation: Automatic generation of functional coverage models from behavioral verilog descriptions. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Wolfgang Ecker, Volkan Esen, Lars Schönberg, Thomas Steininger, Michael Velten, Michael Hull Interactive presentation: Impact of description language, abstraction layer, and value representation on simulation performance. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Esra Sahin, Ilker Hamzaoglu Interactive presentation: An efficient hardware architecture for H.264 intra prediction algorithm. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Maciej J. Ciesielski, Serkan Askar, Daniel Gomez-Prado, Jérémie Guillot, Emmanuel Boutillon Data-flow transformations using Taylor expansion diagrams. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Oana Boncalo, Mihai Udrescu, Lucian Prodan, Mircea Vladutiu, Alexandru Amaricai Saboteur-Based Fault Injection for Quantum Circuits Fault Tolerance Assessment. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Esra Sahin, Ilker Hamzaoglu An Efficient Intra Prediction Hardware Architecture for H.264 Video Decoding. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Serkan Oktem, Ilker Hamzaoglu An Efficient Hardware Architecture for Quarter-Pixel Accurate H.264 Motion Estimation. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Muhammad T. Anan, Ghulam M. Chaudhry A Real-Time Hardware-Based Scheduler For Next-Generation Optical Burst Switches. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Takeshi Shiro, Masaaki Abe, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai A Processor Generation Method from Instruction Behavior Description Based on Specification of Pipeline Stages and Functional Units. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Xiao Hu, Pengyong Ma, Shuming Chen Scheduling for Combining Traffic of On-Chip Trace Data in Embedded Multi-core Processor. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ali Ahmadinia, Balal Ahmad, Ahmet T. Erdogan, Tughrul Arslan System-level Modelling and Analysis of Embedded Reconfigurable Cores for Wireless Systems. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Gaye Lightbody, Roger F. Woods, Jonathan Francey Soft IP core implementation of recursive least squares filter using only multplicative and additive operators. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sangkil Jung, Sangjin Hong, Kyungtae Kim On Achieving High Performance Wireless Mesh Networks With Data Fusion. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jin-Oh Jeon, Su-Bong Ryu, Tae-Min Chang, Ho-Yong Choi, Min-Sup Kang Digital Codec Design for RFID Tag Based on Cryptographic Authentication Protocol. Search on Bibsonomy FGCN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Rainer Scholz Adapting and Automating XILINX's Partial Reconfiguration Flow for Multiple Module Implementations. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Himanshu Arora, Nikolaus Klemmer, Thomas Jochum, Patrick D. Wolf Design Methodology and CAD Tools for Prototyping Delta-Sigma Fractional-N Frequency Synthesizers. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ik-Jae Chun, Tae Moon Roh, Bo-Gwan Kim Binary-Truncated CDMA-Based On-Chip Network. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jun Wang 0010, Kyeong-Yuk Min, Jong-Wha Chong A Hybrid Image Coding in Overdriving for Motion Blur Reduction in LCD. Search on Bibsonomy ICEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Overdriving, Block Truncation Coding, Adaptive Quantization Coding, Compression, LCD, Motion blur
10Mustafa Parlak, Ilker Hamzaoglu A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jin-Oh Jeon, Su-Bong Ryu, Sang-Jo Park, Min-Sup Kang Strong Authentication Protocol for RFID Tag Using SHA-1 Hash Algorithm. Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Strong authentication protocol, SHA-1 hash algorithm, Three-way challenge response, ISO/IEC 1800-3 standard, Digital Codec design, RFID Tag
10Taikyeong Jeong, Jinsuk Kang, Youngjun John, Inhwa Choi, Sungsoo Choi, Hyosik Yang, Gyung-Leen Park, Sehwan Yoo A Time Division Multiplexing (TDM) Logic Mapping Method for Computational Applications. Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Kazuo Sakiyama, Elke De Mulder, Bart Preneel, Ingrid Verbauwhede Side-channel resistant system-level design flow for public-key cryptography. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF elliptic curve cryptography, side-channel attack, system-level modeling
10Haruhiko Kaneko, Eiji Fujiwara Reconstruction of Erasure Correcting Codes for Dependable Distributed Storage System without Spare Disks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Seung-Man Pyen, Kyeong-Yuk Min, Jong-Wha Chong An Efficient VLSI Architecture for Full-Search Variable Block Size Motion Estimation in H.264/AVC. Search on Bibsonomy MMM (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VBSME, VLSI, motion estimation, H.264/AVC, block matching algorithm
10Mohammad Ali Ghodrat, Kanishka Lahiri, Anand Raghunathan Accelerating System-on-Chip Power Analysis Using Hybrid Power Estimation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Stephen A. Edwards, Olivier Tardieu SHIM: a deterministic model for heterogeneous embedded systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Maciej J. Ciesielski, Priyank Kalla, Serkan Askar Taylor Expansion Diagrams: A Canonical Representation for Verification of Data Flow Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Register transfer level—design aids, arithmetic and logic structures—verification, symbolic and algebraic manipulation, verification
10Jaehwan John Lee, Vincent John Mooney A Novel {O(n)} Parallel Banker's Algorithm for System-on-a-Chip. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Parallel Banker's Algorithm, deadlock avoidance in hardware, multiprocessor system-on-a-chip
10Kim Sandström, Ian Oliver A UML Profile for Asynchronous Hardware Design. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ali Habibi, Haja Moinudeen, Amer Samarah, Sofiène Tahar Towards a Faster Simulation of SystemC Designs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Masood Deh-Yadegari, Mohsen Nickray, Ali Afzali-Kusha, Zainalabedin Navabi A New Protocol Stack Model for Network on Chip. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sinan Yalcin, Ilker Hamzaoglu A High Performance Hardware Architecture for Half-Pixel Accurate H.264 Motion Estimation. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hamid Shojaei, Mohammad Sayyaran Signal Coverage Computation in Formal Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Alexander Kamkin The UniTESK Approach to Specification-Based Validation of Hardware Designs. Search on Bibsonomy ISoLA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Baofeng Li, Qiang Shao Deeply Parallel Architecture for Lifting-Based 2D DWT in JPEG2000. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sangkil Jung, Sangjin Hong, Peom Park Effect of RObust Header Compression (ROHC) and Packet Aggregation on Multi-hop Wireless Mesh Networks. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Lin Qiang, Nigel M. Allinson Spatial Optical Distortion Correction in an FPGA. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Choudhury A. Rahman, Wael M. Badawy An Area Efficient Real-time CAVLC IP-Block towards the H.264/AVC Encoder. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Seung-Man Pyen, Kyeong-Yuk Min, Jong-Wha Chong, Satoshi Goto An Efficient Hardware Architecture for Full-Search Variable Block Size Motion Estimation in H.264/AVC. Search on Bibsonomy ISVC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Encarnación Castillo, Luis Parrilla 0001, Antonio García 0001, Antonio Lloris-Ruíz, Uwe Meyer-Bäse IPP Watermarking Technique for IP Core Protection on FPL Devices. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Zude Zhou, Songlin Cheng, Quan Liu Application of DDR Controller for High-speed Data Acquisition Board. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jérôme Lemaitre, Ed F. Deprettere FPGA Implementation of a Prototype Hierarchical Control Network for Large-Scale Signal Processing Applications. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Victor M. Goulart Ferreira, Lovic Gauthier, Takayuki Kando, Takuma Matsuo, Toshihiko Hashinaga, Kazuaki J. Murakami REDEFIS: a system with a redefinable instruction set processor. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ISA customization, dynamically reconfigurable processor, low power, SoC, high performance
10Dong-Sun Kim 0002, Hyunsik Kim, Duck-Jin Chung Implementation of a Neural Network Processor Based on RISC Architecture for Various Signal Processing Applications. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Gerald R. Morris, Viktor K. Prasanna, Richard D. Anderson A Hybrid Approach for Mapping Conjugate Gradient onto an FPGA-Augmented Reconfigurable Supercomputer. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tom Van Court, Martin C. Herbordt Application-Specific Memory Interleaving Enables High Performance in FPGA-based Grid Computations. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jack Whitham, Neil C. Audsley Integrating Custom Instruction Specifications into C Development Processes. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 709 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license