The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RAMs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1985 (18) 1986-1989 (21) 1990-1991 (21) 1992-1993 (23) 1994-1995 (18) 1996-1997 (19) 1998-2000 (22) 2001-2002 (30) 2003 (16) 2004 (19) 2005-2006 (36) 2007 (23) 2008 (20) 2009 (19) 2010 (22) 2011-2012 (25) 2013 (20) 2014-2016 (15) 2017-2018 (17) 2019 (15) 2020-2021 (24) 2022-2023 (25) 2024 (2)
Publication types (Num. hits)
article(187) inproceedings(282) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 290 occurrences of 196 keywords

Results
Found 482 publication records. Showing 470 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Joseph M. Palmer, Brent E. Nelson A Parallel FFT Architecture for FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Norbert Pramstaller, Johannes Wolkerstorfer A Universal and Efficient AES Co-processor for Field Programmable Logic Arrays. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alireza Hodjat, Ingrid Verbauwhede A 21.54 Gbits/s Fully Pipelined AES Processor on FPGA. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michael Attig, Sarang Dharmapurikar, John W. Lockwood Implementation Results of Bloom Filters for String Matching. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rajeshwar S. Sable, Ravindra P. Saraf, Rubin A. Parekhji, Arun N. Chandorkar Built-in Self-test Technique for Selective Detection of Neighbourhood Pattern Sensitive Faults in Memories. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Built-in self-test for memories, neighbourhood pattern sensitive faults, programmable BIST
12Sung-Hsien Sun, Shie-Jue Lee A JPEG Chip for Image Compression and Decompression. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF image compression/decompression, standard cell design, FPGA, VHDL, CAD tools, VLSI chip
12Ivo Schanstra, Ad J. van de Goor Consequences of RAM Bitline Twisting for Test Coverage. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Alessandro Pirola A Solution for Hardware Emulation of Non Volatile Memory Macrocells. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Khaled Benkrid, S. Sukhsawas, Danny Crookes, Abdsamad Benkrid An FPGA-Based Image Connected Component Labeller. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yosuke Miyajima, Tsutomu Maruyama A Real-Time Stereo Vision System with FPGA. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Sang-Chul Moon, In-Cheol Park Area-efficient memory-based architecture for FFT processing. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Arturo M. Amendola, Roberto Di Maio, M. L. Iacobuzio, Fabio Poli, Fernando Scalabrini Lessons Learned in Designing and Evaluating Railway Control Systems. Search on Bibsonomy WORDS Fall The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Xiaoling Sun, Bruce F. Cockburn, Duncan G. Elliott An Efficient Functional Test for the Massively-Parallel C ?RAM Logic-Enhanced Memory Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12T. S. Rajesh Kumar, R. Govindarajan, C. P. Ravikumar Optimal Code and Data Layout in Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Pawel Chodowiec, Kris Gaj Very Compact FPGA Implementation of the AES Algorithm. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Chi-Feng Wu, Chih-Tsun Huang, Kuo-Liang Cheng, Cheng-Wen Wu Fault simulation and test algorithm generation for random accessmemories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Premkumar T. Devanbu, Stuart G. Stubblebine Stack and Queue Integrity on Hostile Platforms. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF correctness of memories, oblivious ram, security, data structures, software protection
12Kiyoo Itoh 0001 Trends in Ultralow-Voltage RAM Technology. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Toshinori Sato, Itsujiro Arita Simplifying Instruction Issue Logic in Superscalar Processors. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Valery A. Vardanian, Yervant Zorian A March-Based Fault Location Algorithm for Static Random Access Memories. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Manfred Ley, Herbert Grünbacher TTA-C2, A Single Chip Communication Controller for the Time-Triggered-Protocol. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Valery A. Vardanian, Yervant Zorian A March-Based Fault Location Algorithm for Static Random Access Memories. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Atsumu Iseno, Yukihiro Iguchi A Method for Storing Fail Bit Maps in Burn-in Memory Testers. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Eisaku Ohbuchi, Hiroshi Unno 0004 A Real-Time Configurable Shader Based on Lookup Tables. Search on Bibsonomy CW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Gianfranco Bilardi, Kattamuri Ekanadham, Pratap Pattnaik Optimal organizations for pipelined hierarchical memories. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hierarchical memory processor, scalable pipeline
12Caroline Benveniste, Peter A. Franaszek, John T. Robinson Cache-Memory Interfaces in Compressed Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory compression, performance analysis, trace-driven simulation, cache design, Memory system design
12Gianfranco Bilardi, Enoch Peserico A Characterization of Temporal Locality and Its Portability across Memory Hierarchies. Search on Bibsonomy ICALP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Davide Appello, Fulvio Corno, M. Giovinetto, Maurizio Rebaudengo, Matteo Sonza Reorda A P1500 Compliant BIST-Based Approach to Embedded RAM Diagnosis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12G. Kallos, A. Voudouri, I. Pytharoulis, O. Kakaliagou Modelling Framework for Atmospheric Mercury over the Mediterranean Region: Model Development and Applications. Search on Bibsonomy LSSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Timothy Wheeler, Paul S. Graham, Brent E. Nelson, Brad L. Hutchings Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12S. K. Tewksbury Challenges Facing Practical DFT for MEMS. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Microelectromechanical systems, microsystems technologies, fault tolerance, defect tolerance
12Gianfranco Bilardi, Kattamuri Ekanadham, Pratap Pattnaik Computational power of pipelined memory hierarchies. Search on Bibsonomy SPAA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Yoshiki Yamaguchi, Akira Miyashita, Tsutomu Maruyama, Tsutomu Hoshino A Co-processor System with a Virtex FPGA for Evolutionary Computation. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Sanjive Agarwala, Charles Fuoco, Tim Anderson, Dave Comisky, Christopher Mobley A Multi-Level Memory System Architecture for High-Performance DSP Applications. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Chi-Feng Wu, Chih-Tsun Huang, Kuo-Liang Cheng, Cheng-Wen Wu Simulation-Based Test Algorithm Generation for Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RAM fault simulation, March test algorithm, Cocktail-March test algorithms, semiconductor memories, RAM testing
12Mohammad Gh. Mohammad, Kewal K. Saluja, Alex S. Yap Testing Flash Memories. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FG transistor, Testing, Flash memories, Disturbances
12Vyacheslav N. Yarmolik, I. V. Bykov, Sybille Hellebrand, Hans-Joachim Wunderlich Transparent Word-Oriented Memory BIST Based on Symmetric March Algorithms. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Spencer M. Gold, Richard B. Brown, Bruce Bernhardt A Quantitative Approach to Nonlinear Process Design Rule Scaling. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12José Carlos Alves, José Silva Matos RVC - A Reconfigurable Coprocessor for Vector Processing Applications. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Premkumar T. Devanbu, Stuart G. Stubblebine Stack and Queue Integrity on Hostile Platforms. Search on Bibsonomy S&P The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Jim Gray 0001, Goetz Graefe The Five-Minute Rule Ten Years Later, and Other Computer Storage Rules of Thumb. Search on Bibsonomy SIGMOD Rec. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto, Tomoo Inoue, Hideo Fujiwara Testing for the programming circuit of LUT-based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF programming circuit, control circuit, configuration memory cell array, FPGA, fault model, SRAM, shift registers, shift registers, look-up table
12Dima Grigoriev, Anatol Slissenko Computing Minimum-Link Path in a Homotopy Class amidst Semi-Algebraic Obstacles in the Plane. Search on Bibsonomy AAECC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12René J. Glaise, J. Munier A Low Cost Searching Device for an ATM Adapter. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Michael Nicolaidis, Vladimir Castro Alves, Hakim Bederr Testing complex couplings in multiport memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Mirjam Schönfeld, Jens Franzen, Markus Schwiegershausen, Peter Pirsch, Uwe Vehlies, Andreas Münzner The LISA design environment for the synthesis of array processors including memories for the data transfer and fault tolerance by reconfiguration and coding techniques. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Masayuki Abe, Hikaru Morita Higher Radix Nonrestoring Modular Multiplication Algorithm and Public-key LSI Architecture with Limited Hardware Resources. Search on Bibsonomy ASIACRYPT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Sundarar Mohan, Pinaki Mazumder Analytical and simulation studies of failure modes in SRAMs using high electron mobility transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Robert Cypher, C. Bernard Shung Generalized trace-back techniques for survivor memory management in the Viterbi algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF trace-back, survivor memory, VLSI area requirements, Viterbi algorithm
12Christian von Reventlow, Maati Talmi, Stefan Wolf, M. Ernst, K. Müller, C. Stoffers System considerations and the system level design of a chip set for real-time TV and HDTV motion estimation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Warren H. Debany Jr., Kevin A. Kwiat, Sami A. Al-Arian A Method for Consistent Fault Coverage Reporting. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Samir Naik, Frank Agricola, Wojciech Maly Failure Analysis of High-Density CMOS SRAMs: Using Realistic Defect Modeling and I/Sub DDQ/ Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Jos van Sas, Francky Catthoor, Hugo De Man Test Algorithms for Double-Buffered Random Access and Pointer-Addressed Memories. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Vishwani D. Agrawal, Charles R. Kime, Kewal K. Saluja A Tutorial on Built-In Self-Test, Part 2: Applications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Shiva Chaudhuri, Torben Hagerup, Rajeev Raman Approximate and Exact Deterministic Parallel Selection. Search on Bibsonomy MFCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Nader H. Bshouty Lower Bounds for the Complexity of Functions in a Realistic RAM Model. Search on Bibsonomy ISTCS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Jochen Kölzer, Johann Otto Electrical Characterization of Megabit DRAMs, Part 2: Internal Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Georg Antonin, Hans-Dieter Oberle, Jochen Kölzer Electrical Characterization of Megabit DRAMs, Part 1: External Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Wei-Kang Huang, Yinan N. Shen, Fabrizio Lombardi New approaches for the repairs of memories with redundancy by row/column deletion for yield enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Ronald F. Ayres Completely automatic completion of VLSI designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Ashok K. Goel 0002, Apurva Kalia Simulation of ram-based asynchronous sequential circuits. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Marek Karpinski, Friedhelm Meyer auf der Heide On the Complexity of Genuinely Polynomial Computation. Search on Bibsonomy MFCS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Andreas Geyer-Schulz, Johann Mitlöhner, Alfred Taudes An APL-Simulator of Non-Von Neumann Computer Architectures. Search on Bibsonomy APL The full citation details ... 1990 DBLP  DOI  BibTeX  RDF APL
12Etienne Grandjean, J. M. Robson RAM with Compact Memory: A Realistic and Robust Model of Computation. Search on Bibsonomy CSL The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Larry Carter, Leendert M. Huisman, Tom W. Williams TRIM: testability range by ignoring the memory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
12Pinaki Mazumder, Janak H. Patel, W. Kent Fuchs Design and Algorithms for Parallel Testing of Random Access and Content Addressable Memories. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12W. Stephen Adolph, Hassan K. Reghbati, Amar Sanmugasunderam A frame based system for representing knowledge about VLSI design: a proposal. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF computer assisted design, knowledge representation, VLSI design, silicon compilation
12John H. Reif, J. D. Tygar Efficient Parallel Pseudo-Random Number Generation. Search on Bibsonomy CRYPTO The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Cyrus Bamji, Charles E. Hauck, Jonathan Allen A design by example regular structure generator. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Douglas L. Finke Dynamic RAM architectures for graphics applications. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #470 of 470 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license