The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen An efficient optimization--based technique to generate posynomial performance models for analog integrated circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance modeling for analog circuits, posynomial response surface modeling, geometric programming
23Mandeep Singh, Israel Koren Reliability Enhancement of Analog-to-Digital Converters (ADCs). Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Fault sensitivity, Alpha particle, Fault tolerance, Reliability, Transient faults, Analog-to-Digital Converters
23Gloria Huertas, Diego Vázquez, Eduardo J. Peralías, Adoración Rueda, José L. Huertas Testing mixed-signal cores: practical oscillation-based test in an analog macrocell. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF analog macrocell, mixed signal integrated circuit, OBT, mixed-signal macrocell, integrated circuit testing, mixed analogue-digital integrated circuits, oscillation-based test
23M. A. El-Gamal, Mohamed Fathy Abu El-Yazeed A Combined Clustering and Neural Network Approach for Analog Multiple Hard Fault Classification. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple hard faults, fault clustering, learning vector quantization neural networks, feature selection, analog circuits, fault classification
23Sam D. Huynh, Jinyan Zhang, Seongwon Kim, Giri Devarayanadurg, Mani Soma Efficient Test Set Design for Analog and Mixed-Signal Circuits and Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Efficient, ATPG, Digital, Analog, Mixed-Signal
23Chauchin Su, Yue-Tsang Chen, Chung-Len Lee 0001 Analog Metrology and Stimulus Selection in a Noisy Environment. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mixed Signal and Analog Test
23Charles S. Wilson, Tonia G. Morris, Stephen P. DeWeerth A Two-Dimensional, Object-Based Analog VLSI Visual Attention System. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF attention, analog VLSI, neuromorphic, focal plane
23Lars Hedrich, Erich Barke A Formal Approach to Verification of Linear Analog Circuits with Parameter Tolerances. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF electronic design automation circuit simulation, formal verification, analog circuits
23Michel Renovell, Florence Azaïs, Yves Bertrand Optimized Implementations of the Multi-Configuration DFT Technique for Analog Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLSI, Test, Analog Circuit, Mixed Signal Circuit
23Thorsten Adler, Juergen Schaeuble An Interactive Router for Analog IC Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF schematic driven layout, global router, maze router, 45 degrees, analog circuits, electronic design automation
23Saeid Sadeghi-Emamchaie, Graham A. Jullien, Vassil S. Dimitrov, William C. Miller Digital Arithmetic Using Analog Arrays. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cellular Neural Networks, Double-Base Number System, Analog VLSI
23Pramodchandran N. Variyam, Abhijit Chatterjee Specification-Driven Test Design for Analog Circuits. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Genetic algorithms, Test generation, Analog and mixed-signal test
23Chauchin Su, Yi-Ren Cheng, Yue-Tsang Chen, Shing Tenchen Analog signal metrology for mixed signal ICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Analog signal metrology, multiple period low-rate sampled waveform, high-rate sampled waveform, DSP based testing, on-chip ADC, 20 MHz, mixed analogue-digital integrated circuits, Signal reconstruction, mixed signal IC
23Pramodchandran N. Variyam, Abhijit Chatterjee, Naveena Nagi Low-cost and efficient digital-compatible BIST for analog circuits using pulse response sampling. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF digital-compatible BIST scheme, pulse response sampling, low-cost BIST scheme, built-in self test scheme, rectangular pulses, digital linear feedback shift register, transient testing, synchronization circuitry, comparison circuitry, BIST hardware design, built-in self test, analog circuits
23K. Ravi Shanker, Vinita Vasudevan Synthesis of Analog CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synthesis, analog circuits
23Tao Wei, Mike W. T. Wong, Yim-Shu Lee Efficient Multifrequency Analysis of Fault Diagnosis in Analog Circuits Based on Large Change Sensitivity Computation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF large change sensitivity, fault diagnosis, analog circuits
23Chen-Yang Pan, Kwang-Ting Cheng Implicit functional testing for analog circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF implicit functional testing, linear time-invariant circuits, impulse response samples, pseudo-random technique, production testing time, yield coverages, VLSI, integrated circuit testing, fault coverage, analog circuits, analogue integrated circuits, mixed analogue-digital integrated circuits, transient response
23Michel Renovell, Florence Azaïs, Yves Bertrand The multi-configuration: A DFT technique for analog circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multi-configuration technique, diagnosis facilities, 8/sup th/ order band pass filter, integrated circuit testing, design for testability, integrated circuit design, analog circuits, analogue integrated circuits, band-pass filters, DFT technique
23Diego Vázquez, José L. Huertas, Adoración Rueda Reducing the impact of DFT on the performance of analog integrated circuits: improved sw-op amp design. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sw-op amp design, CMOS implementations, design efforts, cell design, integrated circuit testing, design for testability, DFT, integrated circuit design, power dissipation, operational amplifiers, area, analogue integrated circuits, IC testing, analog integrated circuits, CMOS analogue integrated circuits
23F. Mohamed, M. Manzouki, Anton Biasizzo, Franc Novak Analog circuit simulation and troubleshooting with FLAMES. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analog circuit simulation, model-based expert system, VLSI, fuzzy logic, fuzzy logic, integrated circuit testing, circuit analysis computing, analogue integrated circuits, troubleshooting, diagnostic expert systems, FLAMES
23J. van Spaandonk, Tom A. M. Kevenaar Iterative test-point selection for analog circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF iterative test-point selection, analog ICs, random measurement errors, VLSI, integrated circuit testing, iterative methods, functional testing, iterative algorithm, analogue integrated circuits, measurement errors, decomposition technique
23S. K. Gupta, M. M. Hasan KANSYS: a CAD tool for analog circuit synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF KANSYS, analog circuit synthesis, knowledge intensive hierarchical design, transistor circuit designs, functional circuits, knowledge based systems, hierarchy, integrated circuit design, circuit CAD, CAD tool, analogue integrated circuits, design knowledge, process specifications
23V. C. Prasad, N. Sarat Chandra Babu On minimal set of test nodes for fault dictionary of analog circuit fault diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test nodes, analog circuits, fault dictionary
23Giri Devarayanadurg, Mani Soma Dynamic test signal design for analog ICs. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF minmax, optimization, test, dynamic, analog, time-domain
23Lars Hedrich, Erich Barke A formal approach to nonlinear analog circuit verification. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analog nonlinear circuits, formal verification, functional verification, electronic design automation, behavioral description
23S. R. Kadivar, Doris Schmitt-Landsiedel, Heinrich Klar A new algorithm for the design of stable higher order single loop sigma delta analog-to-digital converters. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SD ADC, convertors, network scaling, nonlinear interactive optimization, performance criteria, sigma delta analog-to-digital converters, single loop, CAD, higher order, analogue-digital conversion, electronic engineering computing
23Chauchin Su, Shenshung Chiang, Shyh-Jye Jou Impulse response fault model and fault extraction for functional level analog circuit diagnosis. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Testing, Diagnosis, Analog Circuit
23Tonia G. Morris, Denise M. Wilson, Stephen P. DeWeerth Analog VLSI circuits for manufacturing inspection. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analog VLSI circuits, manufacturing inspection, programmable structuring elements, oriented edge detection, high speed preprocessors, serial/parallel processing, focal-plane processing, vertical bipolar phototransistors, digital CMOS process, adaptive image threshold, 2.0 micron, computer vision, VLSI, edge detection, mathematical morphology, machine vision, manufacture, morphological operations, selective attention, massively parallel architectures, CMOS analogue integrated circuits, automatic optical inspection, focal planes, analogue processing circuits
23Michael Goedecke, Sorin A. Huss, Kai Morich Automatic Parallelization of the Visual Data-Flow Language Cantata for Efficient Characterization of Analog Circuit Behavior. Search on Bibsonomy VL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF engineering workstations, Cantata visual data-flow language, analog circuit behavior characterisation, application specific functions, execution time reduction, data-flow scheduler, usable workstations, usable workstation performance, program availability, fully automated process, simulation, computational complexity, load balancing, parallel programming, resource allocation, visual languages, digital simulation, processor scheduling, circuit analysis computing, workloads, automatic parallelization, parallel languages, distributed environment, workstations, analogue circuits, control operators
23Ashok Balivada, Yatin Vasant Hoskote, Jacob A. Abraham Verification of transient response of linear analog circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF linear network analysis, circuit behavior, operational amplifier macro circuits, input waveforms, extracted state equations, Z-domain, digital representation, finite state machines, active networks, transfer functions, transfer function, transient analysis, operational amplifiers, frequency-domain analysis, formal techniques, state-space methods, analogue circuits, transient response, transient response, linear analog circuits, equivalent circuits
23Khaled Saab 0001, Bozena Kaminska, Bernard Courtois, Marcelo Lubaszewski Frequency-based BIST for analog circuit testin. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF waveform generators, frequency-based BIST, analog circuit testing, sine wave generator, sinusoidal input signals, variable frequency input stimulus, frequency input signal, T-BIST approach, frequency-counter BIST approach, VLSI, VLSI, built-in self test, integrated circuit testing, analogue integrated circuits
23Janusz A. Starzyk, Hong Dai A decomposition approach for testing large analog networks. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF circuit decomposition, large system identification, Analog testing, test programming
23Subhajit Ray, Peter R. Kinget Ultra-Low-Power and Compact-Area Analog Audio Feature Extraction Based on Time-Mode Analog Filterbank Interpolation and Time-Mode Analog Rectification. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Subhajit Ray, Peter R. Kinget A 31-Feature, 80nW, 0.53mm2 Audio Analog Feature Extractor based on Time-Mode Analog Filterbank Interpolation and Time-Mode Analog Rectification. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Jin-O. Seo, Mingoo Seok, SeongHwan Cho ARCHON: A 332.7TOPS/W 5b Variation-Tolerant Analog CNN Processor Featuring Analog Neuronal Computation Unit and Analog Memory. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Rahul Bhattacharya, Santosh Biswas, Siddhartha Mukhopadhyay FPGA based chip emulation system for test development and verification of analog and mixed signal circuits (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ams testing, concurrent test development, behavioral modeling
22Nguyen Thien Hoang, Hoang Duong Tuan, Truong Q. Nguyen, Hung Gia Hoang Optimized Analog Filter Designs With Flat Responses by Semidefinite Programming. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Laurent Gatet, Hélène Tap-Béteille, Francis Bony Comparison Between Analog and Digital Neural Network Implementations for Range-Finding Applications. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Kyung-Joong Kim 0001, Sung-Bae Cho Combining Multiple Evolved Analog Circuits for Robust Evolvable Hardware. Search on Bibsonomy IDEAL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Eddy Chiu, Paul Ho Transmit Beamforming with Analog Channel State Information Feedback. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Lihong Zhang, Nuttorn Jangkrajarng, Sambuddha Bhattacharya, C.-J. Richard Shi Parasitic-Aware Optimization and Retargeting of Analog Layouts: A Symbolic-Template Approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Vinod M. Prabhakaran, Rohit Puri, Kannan Ramchandran Colored Gaussian Source-Channel Broadcast for Heterogeneous (Analog/Digital) Receivers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Marcello De Matteis, Stefano D'Amico, Andrea Baschirotto Advanced Analog Filters for Telecommunications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Tobias Massier, Helmut E. Graeb, Ulf Schlichtmann Sizing Rules for Bipolar Analog Circuit Design. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Sha Yao, Mikael Skoglund Dimension Compression Relaying for Slow Fading Channels Based on Hybrid Digital-Analog Source-Channel Coding. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Darius Grabowski, Markus Olbrich, Erich Barke Analog circuit simulation using range arithmetics. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Martin Strasser, Michael Eick, Helmut Gräb, Ulf Schlichtmann, Frank M. Johannes Deterministic analog circuit placement using hierarchically bounded enumeration and enhanced shape functions. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Mitra Mirhassani, Majid Ahmadi, Graham A. Jullien Robust analog neural network based on continuous valued number system. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Joachim Becker, Fabian Henrici, Stanis Trendelenburg, Maurits Ortmanns, Yiannos Manoli A hexagonal Field Programmable Analog Array consisting of 55 digitally tunable OTAs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Silvia Soldà, Daniele Vogrig, Andrea Bevilacqua, Andrea Gerosa, Andrea Neviani Analog decoding of trellis coded modulation for multi-level flash memories. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Tomonori Shirotori, Yuko Osana Kohonen feature map associative memory with area representation for sequential analog patterns. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Claudio Mattiussi, Dario Floreano Analog Genetic Encoding for the Evolution of Circuits and Networks. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Xin Li 0001, Padmini Gopalakrishnan, Yang Xu 0017, Lawrence T. Pileggi Robust Analog/RF Circuit Design With Projection-Based Performance Modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Fang Liu 0029, Sule Ozev Statistical Test Development for Analog Circuits Under High Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk, Kai-Pui Lam A Hybrid Analog-Digital Routing Network for NoC Dynamic Routing. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Christer Svensson Analog Power Modelling. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Zhe Wang, Xiaolin Zhang 0002, Lei Chen Analog Error-Control Coding Based on Dimension-Expanding Shannon Mapping for Robust Image Communication. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Chi-Chang Lu, Jyun-Yi Wu, Tsung-Sum Lee A 1.5V 10-b 30-MS/s CMOS Pipelined Analog-to-Digital Converter. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22David W. Graham, Paul E. Hasler Run-Time Programming of Analog Circuits Using Floating-Gate Transistors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Jeffrey Ayres, Michael L. Bushnell Analog Circuit Testing Using Auto Regressive Moving Average Models. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Anna Wang 0001, Junfang Liu, Hao Wang, Ran Tao A Novel Fault Diagnosis of Analog Circuit Algorithm Based on Incomplete Wavelet Packet Transform and Improved Balanced Binary-Tree SVMs. Search on Bibsonomy LSMS (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Mahmoud Taherzadeh, Amir K. Khandani Analog Coding for Delay-Limited Applications. Search on Bibsonomy CISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Osamu Nomura, Takashi Morie Projection-Field-Type VLSI Convolutional Neural Networks Using Merged/Mixed Analog-Digital Approach. Search on Bibsonomy ICONIP (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Catherine Wideman, Jacqueline Gallet Analog to Digital Workflow Improvement: A Quantitative Study. Search on Bibsonomy J. Digit. Imaging The full citation details ... 2006 DBLP  DOI  BibTeX  RDF radiology management, time study, Workflow, efficiency
22Haralampos-G. D. Stratigopoulos, Yiorgos Makris Concurrent detection of erroneous responses in linear analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Sheng-Jang Lin, I-Shun Chen, Bo-Wei Chen, Feng-Hsiang Lo The Design of Analog Front-End Circuitry for 1X HD-DVD PRML Read Channel. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Joachim Becker, Yiannos Manoli Synthesis of Analog Filters on a Continuous-Time FPAA Using a Genetic Algorithm. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ginés Doménech-Asensi, Juan Martínez-Alajarín, Ramón Ruiz Merino, José-Alejandro López Alcantud Synthesis on FPAA of a Smart Sthetoscope Analog Subsystem. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Erik Schüler, Luigi Carro Increasing analog programmability in SoCs. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Sorin A. Huss Analog circuit synthesis: a search for the Holy Grail? Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Thomas Jacob Koickal, Alister Hamilton, Tim C. Pearce, Su-Lim Tan, James Anthony Covington, Julian W. Gardner Analog VLSI design of an adaptive neuromorphic chip for olfactory systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Baoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang 0001 A 2.4GHz low power wireless transceiver analog front-end for endoscopy capsule system. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Anthony S. Deese, Chika O. Nwankpa Emulation of power system load dynamic behavior through reconfigurable analog circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Takafumi Yamaji, Tetsuro Itakura, Rui Ito, Takeshi Ueno, Hidenori Okuni Balanced 3-phase analog signal processing for radio communications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Anthony Kopa, Alyssa B. Apsel Common-emitter feedback transimpedance amplifier for analog optical receivers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Rasit Onur Topaloglu Early, Accurate and Fast Yield Estimation through Monte Carlo-Alternative Probabilistic Behavioral Analog System Simulations. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Tathagato Rai Dastidar, P. P. Chakrabarti 0001, Partha Ray A synthesis system for analog circuits based on evolutionary search and topological reuse. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Viera Stopjaková, Pavol Malosek, Marek Matej, Vladislav Nagy, Martin Margala Defect detection in analog and mixed circuits by neural networks using wavelet analysis. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Sheldon X.-D. Tan, Weikun Guo, Zhenyu Qi Hierarchical approach to exact symbolic analysis of large analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Defect Oriented Testing of Analog Circuits Using Wavelet Analysis of Dynamic Supply Current. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Defect Oriented Testing (DOT), dynamic supply current (IDD), wavelet transform, Fourier transform
22John Tuthill, Antonio Cantoni Efficient compensation for frequency-dependent errors in analog reconstruction filters used in IQ modulators. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Guillermo Zatorre, Nicolás J. Medrano-Marqués, Santiago Celma, Bonifacio Martín-del-Brío, Antonio Bono-Nuez Smart Sensing with Adaptive Analog Circuits. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Huiying Yang, Anuradha Agarwal, Ranga Vemuri Fast Analog Circuit Synthesis Using Multiparameter Sensitivity Analysis Based on Element-Coefficient Diagrams. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Kaiping Zeng, Sorin A. Huss RAMS: A VHDL-AMS Code Refactoring Tool Supporting High Level Analog Synthesis. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Fang Liu 0029, Jacob J. Flomenberg, Devaka V. Yasaratne, Sule Ozev Hierarchical Variance Analysis for Analog Circuits Based on Graph Modelling and Correlation Loop Tracing. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Charlotte Soens, Geert Van der Plas, Piet Wambacq, Stéphane Donnay Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22A. William Stoffel An Agent Based Hybrid Analog-Digital Robotic Sensor Web Meta-system. Search on Bibsonomy WRAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Fang Liu 0029, Sule Ozev Fast Hierarchical Process Variability Analysis and Parametric Test Development for Analog/RF Circuits. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Dylan R. Muir, Giacomo Indiveri, Rodney J. Douglas Form specifies function: robust spike-based computation in analog VLSI without precise synaptic weights. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Mladen Panovic, Andreas Demosthenous Architectures for analog motion estimation processors: a comparison. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22David Haley, Chris Winstead, Vincent C. Gaudet, Alex J. Grant, Christian Schlegel An analog/digital mode-switching LDPC codec. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Kazuki Nakada, Tetsuya Asai, Yoshihito Amemiya Analog CMOS implementation of a neuromorphic oscillator with current-mode low-pass filters. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Raoul F. Badaoui, Ranga Vemuri Analog VLSI circuit-level synthesis using multi-placement structures. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Mladen Panovic, Andreas Demosthenous A low power block-matching analog motion estimation processor. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Adão Antônio de Souza Jr., Luigi Carro, Jawad Tousaad Adaptive processing applied to the design of highly digital analog interfaces. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22A. L. Dalcastangê, Sidnei Noceti Filho On the analog generation of pink noise from white noise. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Shinya Okuda, Shigeo Kaneda, Hirohide Haga Human Position/Height Detection Using Analog Type Pyroelectric Sensors. Search on Bibsonomy EUC Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yu Liu, Thanyapat Sakunkonchak, Satoshi Komatsu, Masahiro Fujita System level design language extensions for timed/untimed digital-analog combined system design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AMS extension, timed/untimed, synchronization, system level design, mixed-signal
22Giuseppe Trautteur Beyond the Super-Turing Snare: Analog Computation and Digital Virtuality. Search on Bibsonomy CiE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Reza Asgary, Karim Mohammadi Analog Fault Detection Using a Neuro Fuzzy Pattern Recognition Method. Search on Bibsonomy ICANN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license