The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instruction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1963 (15) 1964-1967 (16) 1968 (15) 1969-1972 (22) 1973-1974 (38) 1975-1976 (32) 1977 (19) 1978 (20) 1979 (19) 1980 (21) 1981 (18) 1982 (45) 1983 (24) 1984 (35) 1985 (26) 1986 (38) 1987 (67) 1988 (92) 1989 (90) 1990 (104) 1991 (94) 1992 (99) 1993 (111) 1994 (136) 1995 (151) 1996 (247) 1997 (229) 1998 (225) 1999 (286) 2000 (344) 2001 (337) 2002 (403) 2003 (497) 2004 (547) 2005 (603) 2006 (715) 2007 (639) 2008 (722) 2009 (452) 2010 (273) 2011 (212) 2012 (228) 2013 (213) 2014 (214) 2015 (199) 2016 (210) 2017 (208) 2018 (222) 2019 (203) 2020 (236) 2021 (273) 2022 (286) 2023 (641) 2024 (231)
Publication types (Num. hits)
article(3426) book(12) incollection(148) inproceedings(7725) phdthesis(130) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(690) MICRO(269) ISCA(208) IEEE Trans. Computers(179) SIGCSE(165) DATE(159) DAC(133) ICCD(118) IEEE Trans. Very Large Scale I...(107) Innovative Techniques in Instr...(104) Comput. Educ.(99) HPCA(94) ASPLOS(88) ASAP(86) CASES(86) ICALT(81) More (+10 of total 2027)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8210 occurrences of 3021 keywords

Results
Found 11442 publication records. Showing 11442 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28T. N. Vijaykumar, Irith Pomeranz, Karl Cheng Transient-Fault Recovery Using Simultaneous Multithreading. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Yuan Xie 0001, Wayne H. Wolf, Haris Lekatsas A code decompression architecture for VLIW processors. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Craig B. Zilles, Gurindar S. Sohi Understanding the backward slices of performance degrading instructions. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28William E. Dougherty, David J. Pursley, Donald E. Thomas Subsetting Behavioral Intellectual Property for Low Power ASIP Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Andreas Moshovos, Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi Dynamic Speculation and Synchronization of Data Dependences. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27M'hammed Abdous, Miki Yoshimura Learner outcomes and satisfaction: A comparison of live video-streamed instruction, satellite broadcast instruction, and face-to-face instruction. Search on Bibsonomy Comput. Educ. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Nikolaos Vernadakis, Andreas Avgerinos, Eleni Zetou, Maria Giannousi, Efthimis Kioumourtzoglou Comparsion of Multimedia Computer Assisted Instruction, Traditional Instruction and Combined Instruction on Learning the Skills of Long Jump. Search on Bibsonomy Int. J. Comput. Sci. Sport The full citation details ... 2006 DBLP  BibTeX  RDF
27Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Adapting instruction level parallelism for optimizing leakage in VLIW architectures. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power supply gating, instruction level parallelism, instruction scheduling, VLIW architecture, leakage energy, functional units
27Baruch Solomon, Avi Mendelson, Doron Orenstein, Yoav Almog, Ronny Ronen Micro-operation cache: a power aware frontend for the variable instruction length ISA. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF micro-operation cache, instruction cache, instruction, power reduction
27William Y. Chen, Pohua P. Chang, Thomas M. Conte, Wen-mei W. Hwu The Effect of Code Expanding Optimizations on Instruction Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF code expanding optimizations, instruction placement, function inline expansion, superscalar optimizations, small caches, medium caches, load forwarding, large caches, C compiler, code expansion, optimisation, cache memory, memory architecture, buffer storage, instruction cache, code optimization, cache design, miss ratio
27Michael J. Flynn, John D. Johnson, Scott P. Wakefield On Instruction Sets and Their Formats. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF execution architecture, instruction format, Computer architecture, instruction set, program representation
27Lorrie Lawrence Hoffman Test gen: A tool for emancipation from traditional instruction. Search on Bibsonomy ACM Annual Conference (2) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Individualized instruction, Computer-assisted instruction
27Walter A. Helbig, Veljko M. Milutinovic A DCFL E/D-MESFET GaAs Experimental RISC Machine. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RCA, DCFL E/D-MESFET, RISC machine, GaAs microprocessor, instruction execution sequence, III-V semiconductors, microprocessor chips, instruction set architecture, software environment, reduced instruction set computing, 32 bit, field effect integrated circuits, gallium arsenide
26Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran, Jörg Henkel Instruction trace compression for rapid instruction cache simulation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Francky Catthoor, Henk Corporaal Instruction buffering exploration for low energy VLIWs with instruction clusters. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Joshua J. Yi, Resit Sendag, David J. Lilja Increasing Instruction-Level Parallelism with Instruction Precomputation (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami Reducing power consumption of instruction ROMs by exploiting instruction frequency. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Zhimin Chen 0002, Richard Neil Pittman, Alessandro Forin Combining multicore and reconfigurable instruction set extensions. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF extensible microprocessors, reconfigurable instruction set extensions, embedded, multi-core
26Christopher D. Hundhausen, Anukrati Agrawal, Dana Fairbrother, Michael Trevisan Does studio-based instruction work in CS 1?: an empirical comparison with a traditional approach. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pedagogical code review, studio-based learning and instruction, cs1, peer review, code inspection
26Teemu Pitkänen, Jarno K. Tanskanen, Risto Mäkinen, Jarmo Takala Parallel Memory Architecture for Application-Specific Instruction-Set Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TTA, Transport triggered architecture, Low power, ASIP, Application-specific instruction-set processors, Parallel memory
26Demid Borodin, Ben H. H. Juurlink, Said Hamdioui, Stamatis Vassiliadis Instruction-Level Fault Tolerance Configurability. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Instruction-level configurability, Fault tolerance, Performance, Reliability, Energy consumption
26Seungrok Jung, Jungsoo Kim, Sangkwon Na, Chong-Min Kyung Energy-aware instruction-set customization for real-time embedded multiprocessor systems. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DVFS, instruction set extensions, configurable processors
26Sheng-Hui Hsu, Shu-Chen Cheng, Yueh-Min Huang The Experience of Adopting Game-Based Learning in Library Instruction. Search on Bibsonomy Edutainment The full citation details ... 2009 DBLP  DOI  BibTeX  RDF library instruction, educational game, Game-based learning
26Suresh K. Bhavnani, Frederick A. Peck, Frederick Reif Strategy-Based Instruction: Lessons Learned in Teaching the Effective and Efficient Use of Computer Applications. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF strategy-based instruction, training, teaching, Strategies
26Peter Rounce, Alberto Ferreira de Souza Dynamic Instruction Scheduling in a Trace-based Multi-threaded Architecture. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simultaneous multi-threading, Wide issue architectures, VLIW, Dynamic instruction scheduling
26Abid M. Malik, Tyrel Russell, Michael Chase, Peter van Beek Learning heuristics for basic block instruction scheduling. Search on Bibsonomy J. Heuristics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF List scheduling heuristics, Machine learning, Instruction scheduling
26Katherine E. Coons, Behnam Robatmili, Matthew E. Taylor, Bertrand A. Maher, Doug Burger, Kathryn S. McKinley Feature selection and policy optimization for distributed instruction placement using reinforcement learning. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler heuristics, genetic algorithms, neural networks, machine learning, instruction scheduling
26Theo Kluter, Philip Brisk, Paolo Ienne, Edoardo Charbon Speculative DMA for architecturally visible storage in instruction set extensions. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecturally visible storage, speculative direct memory access, instruction set extensions, application-specific processors
26Maziar Goudarzi, Tohru Ishihara Instruction cache leakage reduction by changing register operands and using asymmetric sram cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asymmetric sram, leakage, instruction cache, register renaming
26Toshinori Sato, Shingo Watanabe Instruction Scheduling for Variation-Originated Variable Latencies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable latency adder, long latency adder, instruction criticality, microprocessors, parameter variations
26Hangpei Tian, Deyuan Gao, Deli Wang, Yian Zhu, Shengbing Zhang, Jing Wang Dynamically Reconfigurable Instruction Set for Software Radio Encoding/Coding. Search on Bibsonomy MUE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Instruction Set, Software Radio
26Eui-Young Chung, Cheol Hong Kim, Sung Woo Chung An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF way predictioin, low power, Instruction cache
26Guilherme Ottoni, David I. August Communication optimizations for global multi-threaded instruction scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graph min-cut, communication, synchronization, data-flow analysis, multi-threading, instruction scheduling
26Chung-Ho Chen, Kuo-Su Hsiao Scalable Dynamic Instruction Scheduler through Wake-Up Spatial Locality. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CAM-based wakeup logic, matrix-based wakeup logic, scalable instruction scheduler, wakeup spatial locality, low power, issue logic
26Yiyu Tan, Anthony S. Fong, Xiaojian Yang An Instruction Folding Solution to a Java Processor. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction folding, Java virtual machine, Bytecode, Java processor
26Orna Muller, David Ginat, Bruria Haberman Pattern-oriented instruction and its influence on problem decomposition and solution construction. Search on Bibsonomy ITiCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF algorithmic patterns, pattern-oriented instruction, problem solving, problem decomposition
26Huynh Phung Huynh, Joon Edward Sim, Tulika Mitra An efficient framework for dynamic reconfiguration of instruction-set customization. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic reconfiguration, instruction-set extensions, temporal partitioning, customizable processors
26Gang Li 0016, Kin-Hong Lee, Kwong-Sak Leung Using Instruction Matrix Based Genetic Programming to Evolve Programs. Search on Bibsonomy ISICA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction Matrix based Genetic Programming, Genetic Programming
26Carlo Galuzzi, Elena Moscu Panainte, Yana Yankova, Koen Bertels, Stamatis Vassiliadis Automatic selection of application-specific instruction-set extensions. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable computing, instruction-set extension, HW/SW codesign
26M. Anton Ertl, Kevin Casey, David Gregg Fast and flexible instruction selection with on-demand tree-parsing automata. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF tree parsing, dynamic programming, automaton, lazy, instruction selection
26Jason E. Miller, Anant Agarwal Software-based instruction caching for embedded processors. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction cache, chaining, software caching
26Partha Biswas, Nikil D. Dutt Code Size Reduction in Heterogeneous-Connectivity-Based DSPs Using Instruction Set Extensions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DSP, VLIW, ASIP, Coprocessors, instruction set extensions, code size reduction
26Ismail Kadayif, Anand Sivasubramaniam, Mahmut T. Kandemir, Gokul B. Kandiraju, Guangyu Chen Optimizing instruction TLB energy using software and hardware techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction locality, translation look-aside buffer, Power consumption, compiler optimization, cache design
26Chuanjun Zhang An efficient direct mapped instruction cache for application-specific embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF efficient cache design, instruction cache, low power cache
26GuangWei Zou, Xiang Liu An Efficient Approach to Custom Instruction Set Generation. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Major Block, Profiling, Hardware Acceleration, ASIPs, Custom Instruction
26ZhiLei Chai, ZhiQiang Tang, LiMing Wang, Shi-liang Tu An Effective Instruction Optimization Method for Embedded Real-Time Java Processor. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Embedded Real-time Java Processor, Instruction Optimization, WCET (Worst Case Execution Time), Java Processor
26Suman Mamidi, Emily R. Blem, Michael J. Schulte, C. John Glossner, Daniel Iancu, Andrei Iancu, Mayan Moudgill, Sanjay Jinturkar Instruction set extensions for software defined radio on a multithreaded processor. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF convolutional encoding, multithreading, forward error correction, software defined radio, Reed-Solomon coding, instruction set extensions, digital signal processor, Viterbi decoding, turbo decoding
26Roshan G. Ragel, Sri Parameswaran, Sayed Mohammad Kia Micro embedded monitoring for security in application specific instruction-set processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micro embedded monitoring, microinstructions, self-monitoring instructions, application specific instruction-set processors, security monitoring
26Stefan Tillich, Johann Großschädl Accelerating AES Using Instruction Set Extensions for Elliptic Curve Cryptography. Search on Bibsonomy ICCSA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 32-bit implementation, software acceleration, Advanced Encryption Standard, Rijndael, instruction set extensions
26Soong Hyun Shin, Cheol Hong Kim, Chu Shik Jhon An Effective Instruction Cache Prefetch Policy by Exploiting Cache History Information. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Computer architecture, embedded processor, instruction cache, cache prefetching
26Partha Biswas, Vinay Choudhary, Kubilay Atasu, Laura Pozzi, Paolo Ienne, Nikil D. Dutt Introduction of local memory elements in instruction set extensions. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ad-hoc functional units, genetic algorithm, ASIPs, coprocessors, instruction set extensions, customizable processors
26Kubilay Atasu, Laura Pozzi, Paolo Ienne Automatic Application-Specific Instruction-Set Extensions Under Microarchitectural Constraints. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF customisable processors, automatic partitioning, hardware/software codesign, instruction-set extensions
26Jude A. Rivers, Sameh W. Asaad, John-David Wellman, Jaime H. Moreno Reducing instruction fetch energy with backwards branch control information and buffering. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF loop buffer, low-power, instruction fetch
26Yi Zhang, Steve Haga, Rajeev Barua Execution history guided instruction prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance, prefetching, hardware, instruction cache
26Tejas Karkhanis, James E. Smith 0001, Pradip Bose Saving energy with just in time instruction delivery. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adaptive processor, low-power, instruction delivery
26John Kalamatianos, Alireza Khalafi, David R. Kaeli, Waleed Meleis Analysis of Temporal-Based Program Behavior for Improved Instruction Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF program reordering, graph pruning, graph coloring, Instruction caches, temporal locality, conflict misses
26Steven Wallace, Nader Bagherzadeh Modeled and Measured Instruction Fetching Performance for Superscalar Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF performance analysis, Computer architecture, instruction fetching, branch target buffer, superscalar microprocessor
26Hiroyuki Tomiyama, Tohru Ishihara, Akihiko Inoue, Hiroto Yasuura Instruction Scheduling for Power Reduction in Processor-Based System Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Caches, Low-Power Design, Instruction Scheduling
26Jack L. Lo, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Rebecca L. Stamm, Dean M. Tullsen Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, instruction-level parallelism, thread-level parallelism, simultaneous multithreading, cache interference
26Pradip Bose, B. Ramakrishna Rau, Michael S. Schlansker Systematically derived instruction sets for high-level language support. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF directly interpretable languages, space-time efficiency, syntax and semantics, compilation, interpretation, high-level languages, semantic gap, instruction set design
26Virginia Escuder, Raúl Durán, Rafael Rico Analysis of x86 ISA Condition Codes Influence on Superscalar Execution. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Condition codes, Graph theory, Instruction level parallelism, Instruction set architecture
26Georgi Gaydadjiev, Stamatis Vassiliadis SCISM vs IA-64 Tagging: Differences/Code Density Effects. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Instruction Tagging, SCISM, Instruction Level Parallelism, IA-64
26Christoph W. Keßler, Andrzej Bednarski Optimal integrated code generation for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated code generation, space profile, dynamic programming, register allocation, instruction scheduling, instruction selection
26Aneesh Aggarwal, Manoj Franklin Hierarchical Interconnects for On-Chip Clustering. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-chip clustering, instruction distribution algo-rithms, Scalability, on-chip interconnect, Instruction-level parallelism (ILP)
26Phillip Stanley-Marbell, Liviu Iftode Scylla: a smart virtual machine for mobile embedded systems. Search on Bibsonomy WMCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Scylla, smart virtual machine, mobile embedded systems, virtualized processor architecture, inter-device communication, mobile computing, embedded systems, wireless LAN, virtual machines, power management, embedded processors, error recovery, instruction sets, instruction set, prototype system, code mobility, wireless devices
26Shlomit S. Pinter, Adi Yoaz Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time
26Tai-Yi Huang, Jane W.-S. Liu, David Hull A Method for Bounding the Effect of DMA I/O Interference on Program Execution Time. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF DMA I/O operation, program execution time, DMA controller, cycle-stealing mode, bus cycles, cycle stealing operation, executing program, machine instruction, instruction-cache architectures, input output operation, simulations, real-time systems, worst-case execution time, data transfer
26Sung-Kwan Kim, Sang Lyul Min, Rhan Ha Efficient worst case timing analysis of data caching. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF efficient worst case timing analysis, accurate timing analysis, pipelined execution, multiple memory locations, pointer based references, dynamic load/store instructions, WCET overestimation, global data flow analysis, benchmark programs, real-time systems, computational complexity, data caching, cache storage, instruction sets, reduced instruction set computing, data dependence analysis, cache block
26Clifford Liem, Pierre G. Paulin, Marco Cornero, Ahmed Amine Jerraya Industrial experience using rule-driven retargetable code generation for multimedia applications. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VideoPhone codec controller, audio telecommunications, dedicated compiler availability, high-fidelity audio, optimization abilities, rule-driven retargetable code generation, video telecommunications, knowledge based systems, computer architecture, multiprocessing systems, multimedia systems, application specific integrated circuits, multimedia applications, application-specific instruction set processors, instruction sets, telecommunication computing, codecs, VLIW processor, VLIW architecture, transformation rules, controller architecture, optimising compilers, industrial experience, videotelephony, target architecture, MPEG audio
26Mike Tien-Chien Lee, Vivek Tiwari, Sharad Malik, Masahiro Fujita Power analysis and low-power scheduling techniques for embedded DSP software. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit state, embedded DSP software, general-purpose commercial microprocessors, instruction-level power model, measurement based power analysis, micro-architectural power model, on-chip Booth multiplier, scheduling, real-time systems, application specific integrated circuits, energy consumption, scheduling algorithm, power analysis, energy minimization, circuit CAD, digital signal processing chips, instruction sets, energy reduction, low-power scheduling, DSP processor
26William F. Richardson, Erik Brunvand Precise exception handling for a self-timed processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF precise exception handling, self-timed processor, multiple concurrent processes, self-timed queues, decoupled computer architectures, micropipelined processor, Fred, pipelined computer architecture, out-of-order instruction completion, parallel architectures, exception handling, instruction level parallelism, self-adjusting systems, self-timed systems
26Lorrie Fava Lindon, Selim G. Akl An Optimal Implementation of Broadcasting with Selective Reduction. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF optimal implementation, broadcasting with selective reduction, concurrent-read concurrent-write, concurrentmemory access, BROADCAST instruction, memory locations, parallel computation, parallel random access machine, PRAM, instruction sets, random-access storage, parallelalgorithms
26Sanjay Ranka, Sartaj Sahni Clustering on a Hypercube Multicomputer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF square error, clustering problem, NMK processors, multiple-data, parallel algorithms, computational complexity, hypercube networks, single-instruction multiple-data, SIMD, MIMD, hypercube multicomputer, multiple-instruction
25George Xenoulis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Instruction-Based Online Periodic Self-Testing of Microprocessors with Floating-Point Units. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Cuiping Xu, Ge Zhang, Shouqing Hao Fast Way-Prediction Instruction Cache for Energy Efficiency and High Performance. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Marios Kleanthous, Yiannakis Sazeides CATCH: A Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Carlo Galuzzi, Koen Bertels The Instruction-Set Extension Problem: A Survey. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Yuan-Shin Hwang, Jia-Jhe Li Snug set-associative caches: Reducing leakage power of instruction and data caches with no performance penalties. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Caches, leakage power, drowsy caches, cache decay
25Priya Nagpurkar, Harold W. Cain, Mauricio J. Serrano, Jong-Deok Choi, Chandra Krintz Call-chain Software Instruction Prefetching in J2EE Server Applications. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Michael Med, Andreas Krall Instruction Set Encoding Optimization for Code Size Reduction. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Miquel Pericàs, Adrián Cristal, Rubén González 0001, Daniel A. Jiménez, Mateo Valero A decoupled KILO-instruction processor. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Nicolas Beucher, Normand Bélanger, Yvon Savaria, Guy Bois Motion Compensated Frame Rate Conversion Using a Specialized Instruction Set Processor. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Tom Vander Aa, Murali Jayapala, Henk Corporaal, Francky Catthoor, Geert Deconinck Instruction Transfer And Storage Exploration for Low Energy VLIWs. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Houman Homayoun, Ted H. Szymanski Reducing the Instruction Queue Leakage Power in Superscalar Processors. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Zheng Shen, Hu He 0001, Yanjun Zhang, Yihe Sun VS-ISA: A Video Specific Instruction Set Architecture for ASIP Design. Search on Bibsonomy IIH-MSP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Hybrid Custom Instruction and Co-Processor Synthesis Methodology for Extensible Processors. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Kang Zhao, Jinian Bian A Clustering ILP Model for Fast Instruction Selection in Embedded Applicated Specific Processor Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Tien-Fu Chen, Chia-Ming Hsu, S.-R. Wu Flexible Heterogeneous Multicore Architectures for Versatile Media Processing Via Customized Long Instruction Words. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Cheol Hong Kim, Sung-Hoon Shim, Jong Wook Kwak, Sung Woo Chung, Chu Shik Jhon First-Level Instruction Cache Design for Reducing Dynamic Energy Consumption. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Stephen Hines, Gary S. Tyson, David B. Whalley Reducing Instruction Fetch Cost by Packing Instructions into RegisterWindows. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Ahmad Zmily, Earl Killian, Christos Kozyrakis Improving Instruction Delivery with a Block-Aware ISA. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Joseph J. Sharkey, Dmitry V. Ponomarev Instruction Recirculation: Eliminating Counting Logic in Wakeup-Free Schedulers. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Ayose Falcón, Alex Ramírez, Mateo Valero Effective Instruction Prefetching via Fetch Prestaging. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Shu Xiao 0001, Edmund Ming-Kit Lai, A. Benjamin Premkumar Rule-Based Power-Balanced VLIW Instruction Scheduling with Uncertainty. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Masayuki Masuda, Kazuhito Ito Rapid and precise instruction set evaluation for application specific processor design. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Mohammad Mostafizur Rahman Mozumdar, Kingshuk Karuri, Anupam Chattopadhyay, Stefan Kraemer, Hanno Scharwächter, Heinrich Meyr, Gerd Ascheid, Rainer Leupers Instruction Set Customization of Application Specific Processors for Network Processing: A Case Study. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Siu-Kei Wong, Chi-Ying Tsui Re-Configurable Bus Encoding Scheme for Reducing Power Consumption of the Cross Coupling Capacitance for Deep Sub-Micron Instruction Bus. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Ravi V. Batchu, Daniel A. Jiménez Exploiting Procedure Level Locality to Reduce Instruction Cache Misses. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Aviral Shrivastava, Nikil D. Dutt Energy efficient code generation exploiting reduced bit-width instruction set architectures (rISA). Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi Test Instruction Set (TIS) for High Level Self-Testing of CPU Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 11442 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license