The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for routability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1991 (16) 1992-1994 (22) 1995-1996 (23) 1997-1998 (20) 1999-2000 (24) 2001 (19) 2002 (24) 2003 (35) 2004 (32) 2005 (34) 2006 (30) 2007 (30) 2008 (34) 2009 (24) 2010-2011 (24) 2012-2013 (20) 2014-2015 (17) 2016-2017 (24) 2018-2019 (24) 2020-2021 (16) 2022-2023 (25) 2024 (7)
Publication types (Num. hits)
article(155) inproceedings(369)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 363 occurrences of 173 keywords

Results
Found 524 publication records. Showing 524 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Christophe Jelger, Christian F. Tschudin Dynamic names and private address maps: complete self-configuration for MANETs. Search on Bibsonomy CoNEXT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MANET self-configuration, name autoconfiguration, address autoconfiguration
11Minsik Cho, David Z. Pan, Hua Xiang 0001, Ruchir Puri Wire density driven global routing for CMP variation and timing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, VLSI, manufacturability, global routing
11Pui-In Mak, Seng-Pan U., Rui Paulo Martins Design and test strategy underlying a low-voltage analog-baseband IC for 802.11a/b/g WLAN SiP receivers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Taraneh Taghavi, Soheil Ghiasi, Majid Sarrafzadeh Routing algorithms: architecture driven rerouting enhancement for FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ali Hammad Akbar 0001, Ki-Hyung Kim, Won-Do Jung, Ali Kashif Bashir, Seung-Wha Yoo GARPAN: Gateway-Assisted Inter-PAN Routing for 6LoWPANs. Search on Bibsonomy ICCSA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Shashidhar Mysore, Banit Agrawal, Navin Srivastava, Sheng-Chih Lin, Kaustav Banerjee, Timothy Sherwood Introspective 3D chips. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Architectures, hardware support for profiling, introspection
11Ravi Arora, Sachin Shrivastava Area Recovery by Abutted Cell Placement: Can Fillers be Killers? An Eye-opening Viewpoint! Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Charles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang Timing-driven Steiner trees are (practically) free. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF arborescence, timing-driven, rectilinear Steiner tree
11Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han Novel full-chip gridless routing considering double-via insertion. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant via insertion, routing, manufacturability
11Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Bo Yao The Y architecture for on-chip interconnect: analysis and methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh On effective slack management in postscheduling phase. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik Routing-aware scan chain ordering. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, Layout, scan chain
11Jason Cong, Joseph R. Shinnerl, Min Xie 0004, Tim Kong, Xin Yuan 0005 Large-scale circuit placement. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimality, scalability, Placement, large-scale optimization
11Deepak Rautela, Rajendra S. Katti Design and Implementation of FPGA Router for Efficient Utilization of Heterogeneous Routing Resources. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Chao-Yang Yeh, Malgorzata Marek-Sadowska Skew-programmable clock design for FPGA and skew-aware placement. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clock architecture, skew optimization, placement
11Deepak Rautela, Rajendra S. Katti Efficient utilization of heterogeneous routing resources for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Petra Färm, Elena Dubrova, Andreas Kuehlmann Logic optimization using rule-based randomized search. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden Floorplan management: incremental placement for gate sizing and buffer insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11David Varghese, J. Neil Ross A continuous-time hierarchical field programmable analogue array for rapid prototyping and hierarchical approach to analogue systems design. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF HFPAA, differential difference amplifier, interconnectivity analysis, non-permuting grouped combinations listing algorithm, rents rule, second generation current-conveyor, hierarchical architecture, FPAA
11Qinghua Liu, Malgorzata Marek-Sadowska Wire length prediction-based technology mapping and fanout optimization. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prediction, congestion, wire length
11Jarrod A. Roy, David A. Papa, Saurabh N. Adya, Hayward H. Chan, Aaron N. Ng, James F. Lu, Igor L. Markov Capo: robust and scalable open-source min-cut floorplacer. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, physical design, floorplanning
11Chang-Tzu Lin, De-Sheng Chen, Yiwen Wang 0003, Hsin-Hsien Ho Modem floorplanning with abutment and fixed-outline constraints. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jingyu Xu, Xianlong Hong, Tong Jing Timing-driven global routing with efficient buffer insertion. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Fei He 0001, William N. N. Hung, Xiaoyu Song, Ming Gu 0001, Jiaguang Sun 0001 Segmented channel routing with pin rearrangements via satisfiability. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Seung-Yeon Lee, Eui-nam Huh, Sangbok Kim, Youngsong Mun An Efficient Performance Enhancement Scheme for Fast Mobility Service in MIPv6. Search on Bibsonomy ICCSA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yang Yang 0040, Tong Jing, Xianlong Hong, Yu Hu 0002, Qi Zhu 0002, Xiaodong Hu 0001, Guiying Yan Via-Aware Global Routing for Good VLSI Manufacturability and High Yield. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Tsung-Yi Ho, Chen-Feng Chang, Yao-Wen Chang, Sao-Jie Chen Multilevel full-chip routing for the X-based architecture. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Xarchitecture, routing, physical design, multilevel optimization
11Yao-Wen Chang, Shih-Ping Lin 0001 MR: a new framework for multilevel full-chip routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tong Jing, Xianlong Hong, Jingyu Xu, Haiyun Bao, Chung-Kuan Cheng, Jun Gu UTACO: a unified timing and congestion optimization algorithm for standard cell global routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Haihua Su, Jiang Hu, Sachin S. Sapatnekar, Sani R. Nassif A methodology for the simultaneous design of supply and signal networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Nicholas Weaver, John R. Hauser, John Wawrzynek The SFRA: a corner-turn FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA CAD, FPGA design study, FPGA optimization, FPGA architecture
11Tomasz S. Czajkowski, Jonathan Rose A synthesis oriented omniscient manual editor. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtex-e, synthesis, manual
11Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Fault Tolerance of Programmable Switch Blocks. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yi-Hui Cheng, Yao-Wen Chang Integrating buffer planning with floorplanning for simultaneous multi-objective optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada High speed layout synthesis for minimum-width CMOS logic cells via Boolean satisfiability. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jin-Tai Yan, Shun-Hua Lin Timing-constrained congestion-driven global routing. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Andrea Lodi 0002, Roberto Giansante, Carlo Chiesa, Luca Ciccarelli, Fabio Campi, Mario Toma Compact Buffered Routing Architecture. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Alexander Danilin, Sergei Sawitzki Optimizing the Performance of the Simulated Annealing Based Placement Algorithms for Island-Style FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mircea R. Stan, Fatih Hamzaoglu, David Garrett Non-Manhattan maze routing. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Manhattan routing, multi-layer routing, non-Manhattan routing, global routing, local routing
11Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Probabilistic Analysis of Fault Tolerance of FPGA Switch Block Array. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, routing, testing
11Sami Khawam, Tughrul Arslan, Fred Westall Unidirectional Switch-Boxes for Synthesizable Reconfigurable Arrays. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Miyoung Kim, Hyewon K. Lee, Youngsong Mun A Mutual Authentication and Route Optimization Method between MN and CN Using AAA in Mobile IPv6. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Changnam Kim, Young Sin Kim, Eui-nam Huh, Youngsong Mun Performance Improvement in Mobile IPv6 Using AAA and Fast Handoff. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Victor N. Kravets, Prabhakar Kudva Implicit enumeration of structural changes in circuit optimization. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, decomposition, technology mapping, physical synthesis, re-synthesis
11Roman L. Lysecky, Frank Vahid, Sheldon X.-D. Tan Dynamic FPGA routing for just-in-time FPGA compilation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, dynamic optimization, system-on-a-chip, platforms, codesign, hardware/software partitioning, just-in-time compilation, configurable logic, place and route, warp processors
11Andrew B. Kahng, Sherief Reda Placement feedback: a concept and method for better min-cut placements. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF min-cut placement, terminal propagation, feedback
11Amit Singh 0001, Arindam Mukherjee 0001, Luca Macchiarulo, Malgorzata Marek-Sadowska PITIA: an FPGA for throughput-intensive applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Frank Schmiedle, Rolf Drechsler, Bernd Becker 0001 Exact Routing with Search Space Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF forced cells, MDDs, Detailed routing, fixed point iteration
11Hongbing Fan, Jiping Liu, Yu-Liang Wu General Models and a Reduction Design Technique for FPGA Switch Box Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hyper-universal, FPGA, global routing, detailed routing, reduction technique, optimum design, switch box
11Hongbing Fan, Jiping Liu, Yu-Liang Wu, Chak-Chung Cheung On optimal hyperuniversal and rearrangeable switch box designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Marcin Jeske, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Ulrich Brenner, André Rohe An effective congestion-driven placement framework. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Paul Villarrubia Important placement considerations for modern VLSI chips. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Fan Mo, Robert K. Brayton Fishbone: a block-level placement and routing scheme. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, placement
11Saurabh N. Adya, Igor L. Markov, Paul Villarrubia On Whitespace and Stability in Mixed-Size Placement and Physical Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jason Cong, Tim Kong, Joseph R. Shinnerl, Min Xie 0004, Xin Yuan 0005 Large-Scale Circuit Placement: Gap and Promise. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Optimality, Scalability, Placement, Large-Scale Optimization
11Seokjin Lee, Yongseok Cheon, Martin D. F. Wong A Min-Cost Flow Based Detailed Router for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF min-cost flow algorithm, Lagrangian relaxation, FPGA routing
11Joachim Pistorius, Mike Hutton Placement rent exponent calculation methods, temporal behaviour and FPGA architecture evaluation. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA architecture, interconnect prediction, SLIP, rent
11Eli Chiprout Early electrical wire projections and implications. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Martijn T. Bennebroek Validation of wire length distribution models on commercial designs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Ameya R. Agnihotri, Patrick H. Madden Congestion reduction in traditional and new routing architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF non-Manhattan design, congest, steiner trees, global routing
11Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik A Proposal for Routing-Based Timing-Driven Scan Chain Ordering. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Alireza Kaviani Using Design Hierarchy to Improve Quality of Results in FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Hongbing Fan, Jiping Liu, Yu-Liang Wu, Chak-Chung Cheung On Optimum Designs of Universal Switch Blocks. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Timing-driven placement using design hierarchy guided constraint generation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Shankar Balachandran, PariVallal Kannan, Dinesh Bhatia On Routing Demand and Congestion Estimation for FPGAs. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, Masahiro Kawakita Chip size estimation based on wiring area. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Shuenn-Shi Chen, Wang-Dauh Tseng, Jin-Tai Yan, Sao-Jie Chen Printed circuit board routing and package layout codesign. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Haihua Su, Jiang Hu, Sachin S. Sapatnekar, Sani R. Nassif Congestion-driven codesign of power and signal networks. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF signal routing, wire congestion, codesign, power grid noise
11Abhishek Ranjan, Kia Bazargan, Seda Ogrenci, Majid Sarrafzadeh Fast floorplanning for effective prediction and construction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Prashant Saxena, C. L. Liu 0001 Optimization of the maximum delay of global interconnects duringlayer assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Jason Cong, Jie Fang, Kei-Yong Khoo DUNE-a multilayer gridless routing system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Shih-Chieh Chang, Zhong-Zhen Wu Theorems and extensions of single wire replacement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Yao-Wen Chang, Jai-Ming Lin, Martin D. F. Wong Matching-based algorithm for FPGA channel segmentation design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Guy G. Lemieux, David M. Lewis Using sparse crossbars within LUT. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Hongbing Fan, Jiping Liu, Yu-Liang Wu Combinatorial routing analysis and design of universal switch blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Wenting Hou, Hong Yu, Xianlong Hong, Yici Cai, Weimin Wu, Jun Gu, William H. Kao A new congestion-driven placement algorithm based on cell inflation. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Frank Wolz, Reiner Kolla Bubble Partitioning for LUT-Based Sequential Circuits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11PariVallal Kannan, Dinesh Bhatia Tightly Integrated Placement and Routing for FPGAs. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11PariVallal Kannan, Shankar Balachandran, Dinesh Bhatia fGREP - Fast Generic Routing Demand Estimation for Placed FPGA Circuits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Ganapathy Parthasarathy, Malgorzata Marek-Sadowska, Arindam Mukherjee 0001, Amit Singh 0001 Interconnect complexity-aware FPGA placement using Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rent's exponent, interconnect, placement
11Mario Träber A novel ACS-feedback scheme for generic, sequential Viterbi-decoder macros. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Sabyasachi Sengupta, Somavalli Ramanathan, Biswadeep Chatterjee, Dibyendu Goswami Minimizing Area and Maximizing Porosity for Cell Layouts Using Innovative Routing Strategies. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Michael Shyu, Guang-Ming Wu, Yu-Dong Chang, Yao-Wen Chang Generic Universal Switch Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design, architecture, Analysis, digital, programmable logic array, gate array
11Maogang Wang, Xiaojian Yang, Majid Sarrafzadeh Congestion minimization during placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11John Marty Emmert, Dinesh K. Bhatia A Fault Tolerant Technique for FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF incremental reconfiguration, incremental routing, incremental placement, fault tolerance, FPGA
11Guy G. Lemieux, Paul Leventis, David M. Lewis Generating highly-routable sparse crossbars for PLDs. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Sushil Chandra Jain, Shashi Kumar, Anshul Kumar Evaluation of Various Routing Architectures for Multi-FPGA Boards. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable Computing, Rapid Prototyping
11Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Can recursive bisection alone produce routable placements? Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Steven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic The memory/logic interface in FPGAs with large embedded memory arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11M. Kivioja, Jouni Isoaho, L. Vänskä Design and Implementation of Viterbi Decoder with FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Sree Ganesan, Ranga Vemuri A Methodology for Rapid Prototyping of Analog Systems. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF rapid prototyping, technology mapping, placement and routing, FPAA, field-programmable analog arrays
11Frank Schmiedle, Rolf Drechsler, Bernd Becker 0001 Exact channel routing using symbolic representation. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11David M. Lewis, David R. Galloway, Marcus van Ierssel, Jonathan Rose, Paul Chow The Transmogrifier-2: a 1 million gate rapid-prototyping system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Emil S. Ochotta, Patrick J. Crotty, Charles R. Erickson, Chih-Tsung Huang, Rajeev Jayaraman, Richard C. Li, Joseph D. Linoff, Luan Ngo, Hy V. Nguyen, Kerry M. Pierce, Douglas P. Wieland, Jennifer Zhuang, Scott S. Nance A Novel Predictable Segmented FPGA Routing Architecture. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGA, routing, programmable logic
11Steven Trimberger Scheduling Designs into a Time-Multiplexed FPGA. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11John Marty Emmert, Akash Randhar, Dinesh Bhatia Fast Floorplanning for FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 524 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license