The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21I-Chyn Wey, Lung-Hao Chang, You-Gang Chen, Shih-Hung Chang, An-Yeu Wu A 2Gb/s high-speed scalable shift-register based on-chip serial communication design for SoC applications. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21William Zhu 0001, Clark D. Thomborson Algorithms to Watermark Software Through Register Allocation. Search on Bibsonomy DRMTICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Dominik Jochinger, Franz Pichler A New Pseudo-Random Generator Based on Gollmann Cascades of Baker-Register-Machines. Search on Bibsonomy EUROCAST The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hua Yang, Gang Cui, Xiaozong Yang Eliminating Inter-Thread Interference in Register File for SMT Processors. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Tuomas Järvinen, Jarmo Takala Register-Based Permutation Networks for Stride Permutations. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Andreas Leininger, Michael Gössel, Peter Muhmenthaler Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Code. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Tetsuya Sueyoshi, Hiroshi Uchida, Hans Jürgen Mattausch, Tetsushi Koide, Yosuke Mitani, Tetsuo Hironaka Compact 12-port multi-bank register file test-chip in 0.35µm CMOS for highly parallel processors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Chantana Chantrapornchai, Wanlop Surakumpolthorn, Edwin Hsing-Mean Sha Efficient Scheduling for Design Exploration with Imprecise Latency and Register Constraints. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Bernard Goossens The Instruction Register File. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Aneesh Aggarwal, Manoj Franklin Energy Efficient Asymmetrically Ported Register Files. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jia Guo, María Jesús Garzarán, David A. Padua The Power of Belady?s Algorithm in Register Allocation for Long Basic Blocks. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Rogério Xavier de Azambuja, Luiz C. V. dos Santos Global scheduling and register allocation based on predicated execution. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Marek Wróblewski, Matthias Müller 0002, Andreas Wortmann 0002, Sven Simon 0001, Wilhelm Pieper, Josef A. Nossek A power efficient register file architecture using master latch sharing. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Kevin Fan, Nathan Clark, Michael L. Chu, K. V. Manjunath, Rajiv A. Ravindran, Mikhail Smelyanskiy, Scott A. Mahlke Systematic Register Bypass Customization for Application-Specific Processors. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Qiang Xu 0001, Nicola Nicolici On Reducing Wrapper Boundary Register Cells in Modular SOC Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Kelvin Lin, Jean Jyh-Jiun Shann, Chung-Ping Chung Code Compression by Register Operand Dependency. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dictionary-based compression, Code compression
21Yuhei Kaneko, Nobuhiko Sugino, Akinori Nishihara Memory allocation method for indirect addressing with an index register. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Eun-Jin Im, Katherine A. Yelick Optimizing Sparse Matrix Computations for Register Reuse in SPARSITY. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Dezsö Sima The Design Space of Register Renaming Techniques. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Thomas Bräunl Register-Transfer Level Simulation. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21R. Anand, Margarida F. Jacome, Gustavo de Veciana Heuristic tradeoffs between latency and energy consumption in register assignment. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Bart Mesman, Carlos A. Alba Pinto, Koen van Eijk Efficient Scheduling of DSP Code on Processors with Distributed Register Files. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Chaeryung Park, Taewhan Kim, C. L. Liu 0001 Register Allocation - A Hierarchical Reduction Approach. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Katzalin Olcoz, Francisco Tirado Register Allocation with Simultaneous BIST Intrusio. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Tolga Soyata, Eby G. Friedman, James H. Mulligan Jr. Incorporating interconnect, register, and clock distribution delays into the retiming process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Mikkel Thorup Structured Programs have Small Tree-Width and Good Register Allocation (Extended Abstract). Search on Bibsonomy WG The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Zebo Peng, Krzysztof Kuchcinski Automated transformation of algorithms into register-transfer level implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Juin-Yeu Lu, Shiu-Kai Chin Generating Designs Using an Algorithmic Register Transfer Language with Formal Semantics. Search on Bibsonomy TPHOLs The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Waleed Meleis, Edward S. Davidson Optimal local register allocation for a multiple-issue machine. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Tolga Soyata, Eby G. Friedman Retiming with non-zero clock skew, variable register, and interconnect delay. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Rainer Göttfert, Harald Niederreiter General Lower Bound for the Linear Complexity of the Product of Shift-Register Sequences. Search on Bibsonomy EUROCRYPT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Rainer Göttfert, Harald Niederreiter On the Linear Complexity of Products of Shift-Register Sequences. Search on Bibsonomy EUROCRYPT The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Thomas Müller-Wipperfürth, Josef Scharinger, Franz Pichler FSM Shift Register Realization for Improved Testability. Search on Bibsonomy EUROCAST The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21C. Leonard Berman Circuit width, register allocation, and ordered binary decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Christoph W. Keßler, Wolfgang J. Paul, Thomas Rauber A Randomized Heuristic Approach to Register Allocation Search on Bibsonomy PLILP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Fred C. Chow, John L. Hennessy The Priority-Based Coloring Approach to Register Allocation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Arvin Park, Matthew K. Farrens Address compression through base register caching. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF CPU performance, microprocessor systems, locality, bandwidth
21Rajiv Gupta 0001 Employing Register Channels for the Exploitation of Instruction Level Parallelism. Search on Bibsonomy PPoPP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Bruce Jay Collings, G. Barry Hembree Initializing generalized feedback shift register pseudorandom number generators. Search on Bibsonomy J. ACM The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
21Semyon Shteingart, Andrew W. Nagle, John Grason RTG: automatic register level test generator. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
21Andrew Klapper Expected pi-Adic Security Measures of Sequences. Search on Bibsonomy SETA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF algebraic feedback shift register, sequence, pseudo-randomness, security measure, feedback with carry shift register
21Alodeep Sanyal, Sandip Kundu A Built-in Test and Characterization Method for Circuit Marginality Related Failures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit Marginality, Pseudorandom Pattern Generator (PRPG), Multiple Input Signature Register (MISR), Fmax testing based on frequency shmoo, Built-In Self-Test (BIST), Design-for-Testability (DFT), Linear Feedback Shift Register (LFSR)
21Yi-Bing Lin Eliminating Overflow for Large-Scale Mobility Databases in Cellular Telephone Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Cellular telephone network, database overflow, visitor location register, home location register, large-scale database
21Steven R. Vegdahl Using Node Merging to Enhance Graph Coloring. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF graph coloring, register allocation, register coalescing
21Yi-Bing Lin Reducing location update cost in a PCS network. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF roaming management, visitor location register, mobility management, personal communications services, home location register
21Michal Kopec Can Nonlinear Compactors Be Better than Linear Ones. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF built-in self-test, linear feedback shift register, signature analysis, Aliasing probability, data compaction, nonlinear feedback shift register
21Josep Llosa, Mateo Valero, Eduard Ayguadé, Antonio González 0001 Hypernode reduction modulo scheduling. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF register allocation, software pipelining, instruction scheduling, loop scheduling, register spilling
21Joseph S. M. Ho, Ian F. Akyildiz Local Anchor Scheme for Reducing Location Tracking Costs in PCNs. Search on Bibsonomy MobiCom The full citation details ... 1995 DBLP  DOI  BibTeX  RDF call delivery, local anchoring, location registration, visitor location register, home location register
21Svetlana P. Kartashev, Steven I. Kartashev Analysis and Synthesis of Dynamic Multicomputer Networks that Reconfigure into Rings, Trees, and Stars. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF stars?single and multirooted, Binary tree?single and multirooted, composite ring structures, reconfiguration code, reconfiguration of dynamic multicomputer networks, ring period, set of rings, shift- register theory, shift register with variable bias, single ring structures
21Jacob Savir The Bidirectional Double Latch (BDDL). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF shift register latch, shift register failure diagnostics, Design for testability, hardware overhead, LSSD
21Tatsuo Higuchi 0001, Michitaka Kameyama Static-Hazard-Free T-Gate for Ternary Memory Element and Its Application to Ternary Counters. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Counter based on shift register, emitter coupled logic (ECL), feedback shift register (FSR), signed ternary number representation, static-hazard-free T-gate, symmetrical modulo-M counter, synchronous and asynchronous signed ternary counter, ternary memory element, up-down counting
20Roberto Baldoni, Silvia Bonomi, Michel Raynal Joining a Distributed Shared Memory Computation in a Dynamic Distributed System. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Provable guarantee, Regular register, Set object, Dynamic system, Synchronous system, Churn
20Joon-Sung Yang, Nur A. Touba Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Trace Buffer Observation Window, Two-Dimensional (2-D) Compaction, Cycling Register, Silicon Debug, MISR
20Shao-Yang Wang, Rong-Guey Chang Code size reduction by compressing repeated instruction sequences. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Repeated instruction sequence, Index table, Instruction table, Register bank, Code compression, Decompression, Instruction prefetching
20Florent Bouchez, Alain Darte, Fabrice Rastello On the complexity of spill everywhere under SSA form. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF spill, complexity, register allocation, SSA form
20Tadayoshi Enomoto, Suguru Nagayama, Nobuaki Kobayashi Low-Power High-Speed 180-nm CMOS Clock Drivers. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.640 ns, CMOS clock drivers, register array, delay flip-flops, 251 muW, CMOS technology, power dissipation, delay time, 0.18 micron
20Bin Zhang 0003, Dengguo Feng Multi-pass Fast Correlation Attack on Stream Ciphers. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stream cipher, Linear feedback shift register (LFSR), Fast correlation attack, Parity-check
20Tor Helleseth, Cees J. A. Jansen, Shahram Khazaei, Alexander Kholosha Security of Jump Controlled Sequence Generators for Stream Ciphers. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF jump register, key-stream generator, linear relations, Cryptanalysis, stream cipher, Pomaranch
20Mark Goresky, Andrew Klapper Periodicity and Distribution Properties of Combined FCSR Sequences. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stream cipher, pseudorandom sequence, Feedback with carry shift register
20Takuya Nakaike, Tatsushi Inagaki, Hideaki Komatsu, Toshio Nakatani Profile-based global live-range splitting. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF live-range splitting, graph coloring, register allocation
20Stephen Hines, David B. Whalley, Gary S. Tyson Adapting compilation techniques to enhance the packing of instructions into registers. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction packing, instruction register file, compiler optimizations
20Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose Selective writeback: exploiting transient values for energy-efficiency and performance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF energy-efficiency, register files
20Priya Iyer, Shailendra Jain, Bryan Casper, Jason Howard Testing High-Speed IO Links Using On-Die Circuitry. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF link characterization, on-die oscilloscope, BER, register file, JTAG, IO
20Alex Aletà, Josep M. Codina, Antonio González 0001, David R. Kaeli Demystifying on-the-fly spill code. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register allocation, modulo scheduling, spill code
20Ruo Ando, Yoshiyasu Takefuji Self Debugging Mode for Patch-Independent Nullification of Unknown Remote Process Infection. Search on Bibsonomy CANS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF self-debugging mode, real-time nullification, debug register, improved debug exception handler, branchIP recorder
20Kevin Chen, Matthew Henricksen, William Millan, Joanne Fuller, Leonie Ruth Simpson, Ed Dawson, Hoon-Jae Lee 0001, Sang-Jae Moon Dragon: A Fast Word Based Stream Cipher. Search on Bibsonomy ICISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF word based stream cipher, nonlinear filter, nonlinear feedback shift register
20Yun-Nan Chang An Efficient In-Place VLSI Architecture for Viterbi Algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF register-exchange, trace-back, ACS unit, Viterbi decoder
20Manoranjan Satpathy, Rabi N. Mahapatra, Siddharth Choudhuri, Sachin V. Chitnis High Performance Code Generation through Lazy Activation Records. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Register Utilization, Activation Records, Low Power Optimization, Embedded Systems
20Christoph W. Keßler, Andrzej Bednarski Optimal integrated code generation for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated code generation, space profile, dynamic programming, register allocation, instruction scheduling, instruction selection
20Zoran Budimlic, Keith D. Cooper, Timothy J. Harvey, Ken Kennedy, Timothy S. Oberg, Steven W. Reeves Fast Copy Coalescing and Live-Range Identification. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF copy coalescing, live-range identification, code generation, register allocation, interference graph
20Milenko Drinic, Darko Kirovski Behavioral synthesis via engineering change. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF engineering change, scheduling, transformations, register assignment
20Guang Gong, Lein Harn, Huapeng Wu The GH Public-Key Cryptosystem. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2001 DBLP  DOI  BibTeX  RDF third-order linear feedback shift register sequences over finite fields, digital signature, Public-key cryptosystem
20Alexander Kholosha Clock-Controlled Shift Registers and Generalized Geffe Key-Stream Generator. Search on Bibsonomy INDOCRYPT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF key-stream generator, clock-controlled shift register, Geffe generator, cryptography
20Koen van Eijk, Bart Mesman, Carlos A. Alba Pinto, Qin Zhao, Marco Bekooij, Jef L. van Meerbergen, Jochen A. G. Jess Constraint analysis for code generation: basic techniques and applications in FACTS. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF foreground memory, phase coupling, scheduling, DSP, constraint analysis, register binding
20Rastislav Bodík, Rajiv Gupta 0001, Mary Lou Soffa Load-Reuse Analysis: Design and Evaluation. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF data-flow analysis, program representations, profile-guided optimizations, register promotion
20Dingchao Li, Yuji Iwahori, Tatsuya Hayashi, Naohiro Ishii A Spill Code Placement Framework for Code Scheduling. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Fine grain parallel architectures, program behavior analysis, compiler optimization, register spilling, code scheduling
20Oliver Rüthing Optimal Code Motion in the Presence of Large Expressions. Search on Bibsonomy ICCL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF partial redundancy, graph theory, register allocation, graph matching, program optimization, code motion, elimination
20M. Anton Ertl, Andreas Krall Removing Anti Dependences by Repairing. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF anti dependence, instruction-level parallelism, speculative execution, repairing, register renaming
20Larry Carter, Jeanne Ferrante, Susan Flynn Hummel Hierarchical tiling for improved superscalar performance. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hierarchical tiling, superscalar performance, inner-loop performance, compiler phases, scalar replacement, storage mapping, superscalar pipelined processors, automatic preprocessor, performance evaluation, parallel processing, parallelization, message passing, message passing, register allocation, instruction scheduling, optimizing compiler, data locality, archival storage
20Jay K. Adams, John Alan Miller, Donald E. Thomas Execution-time profiling for multiple-process behavioral synthesis. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF execution-time profiling, multiple-process behavioral synthesis, back-annotating, source description, behavioral simulation model, annotated behavioral simulation, high level synthesis, high-level synthesis, timing, timing, logic CAD, digital simulation, circuit analysis computing, hardware design, software profiling, register-transfer level model
20Gerd Maderlechner, Helmut Mayer 0001 Conversion of high level information from scanned maps into geographic information systems. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF frame based representation, high level information conversion, scanned maps, land register maps, feedback cycles, legal information extraction, parcels, boundary stones, topographic information, feature extraction, geographic information systems, geographic information systems, knowledge representation, frames, semantic networks, semantic networks, cartography, explicit knowledge, automatic extraction, law administration
20Peter Dahl, Matthew T. O'Keefe Reducing memory traffic with CRegs. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF CRegs, ambiguous alias, live range, graph coloring, register allocation
20Preston Briggs, Linda Torczon An Efficient Representation for Sparse Sets. Search on Bibsonomy LOPLAS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compiler implementation, set representations, register allocation, set operations
20Ajay Kumar Verma, Philip Brisk, Paolo Ienne Fast, quasi-optimal, and pipelined instruction-set extensions. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Yang Xiao 0001, Hui Chen 0001, Hsiao-Hwa Chen, Bo Sun 0001, C. L. Philip Chen Optimal Utilization and Effects of Inaccurate Estimation in Mobile Database Failure Restoration. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Guillermo Payá Vayá, Javier Martín-Langerwerf, Piriya Taptimthong, Peter Pirsch Design Space Exploration of Media Processors: A Parameterized Scheduler. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Gregory V. Chockler, Rachid Guerraoui, Idit Keidar Amnesic Distributed Storage. Search on Bibsonomy DISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Xiaotong Zhuang, Santosh Pande Parallelizing load/stores on dual-bank memory embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory bank allocation, parallel load/stores, profile driven optimization, DSP architectures
20Ge Jin, Sang-Joon Lee, James K. Hahn, Steven Bielamowicz, Rajat Mittal 0002, Raymond Walsh 3D Surface Reconstruction and Registration for Image Guided Medialization Laryngoplasty. Search on Bibsonomy ISVC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Reconstruction, Registration, Image Guided Surgery
20Lisa Higham, Colette Johnen Relationships between communication models in networks using atomic registers. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ryan Collins, Fernando Alegre, Xiaotong Zhuang, Santosh Pande Compiler assisted dynamic management of registers for network processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Chang-Jin Choi, Sang-Hun Yoon, Jong-Wha Chong, Shouyin Liu A New Low-Power and High Speed Viterbi Decoder Architecture. Search on Bibsonomy ICUCT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RE-exchange, low-power, look-ahead, viterbi
20Yongxiang Liu, Gokhan Memik, Glenn Reinman Reducing the Energy of Speculative Instruction Schedulers. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Lei Wang 0003 Error-tolerance memory Microarchitecture via Dynamic Multithreading. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Hongbo Rong, Alban Douillet, Ramaswamy Govindarajan, Guang R. Gao Code Generation for Single-Dimension Software Pipelining of Multi-Dimensional Loops. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Byoungro So, Mary W. Hall Increasing the Applicability of Scalar Replacement. Search on Bibsonomy CC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Solomon W. Golomb, Pey-Feng Lee Which Irreducible Polynomials Divide Trinomials over GF(2)? Search on Bibsonomy SETA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Wenlong Li, Haibo Lin, Yu Chen, Zhizhong Tang Increasing Software-Pipelined Loops in the Itanium-Like Architecture. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Dmitry Ponomarev 0001, Gurhan Kucuk, Oguz Ergin, Kanad Ghose Reducing Datapath Energy through the Isolation of Short-Lived Operands. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Peter Suaris, Dongsheng Wang 0012, Pei-Ning Guo, Nan-Chi Chou A physical retiming algorithm for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license