The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12J Strother Moore Functional formal methods. Search on Bibsonomy ICFP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional programming, Java Virtual Machine, microarchitecture, software verification, Common Lisp, hardware verification, mechanical theorem proving
12Edith Kussener, Hervé Barthélemy, Alexandre Malherbe, Andreas Kaiser Versatile macromodel for the power supply of submicronic CMOS microprocessors based on voltage down DC-DC converter. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Cecilia Metra, Stefano Di Francescantonio, T. M. Mak Clock Faults? Impact on Manufacturing Testing and Their Possible Detection Through On-Line Testing. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Ching-Hong Tsai, Cheng-Wen Wu Processor-programmable memory BIST for bus-connected embedded memories. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kenneth Rose A comprehensive look at system level model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Shervin Hojat, Paul Kartschoke Techniques for Improving Timing Convergence of Advanced Microprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Fu-Chiung Cheng, Chuin-Ren Wang Specification and Design of a Quasi-Delay-Insensitive Java Card. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Zarka Cvetanovic, Richard E. Kessler Performance analysis of the Alpha 21264-based Compaq ES40 system. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Luiz André Barroso, Kourosh Gharachorloo, Robert McNamara, Andreas Nowatzyk, Shaz Qadeer, Barton Sano, Scott Smith, Robert Stets, Ben Verghese Piranha: a scalable architecture based on single-chip multiprocessing. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Mahadevamurty Nemani, Vivek Tiwari Macro-driven circuit design methodology for high-performance datapaths. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Mark D. Aagaard, Robert B. Jones, Roope Kaivola, Katherine R. Kohatsu, Carl-Johan H. Seger Formal verification of iterative algorithms in microprocessors. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Todd M. Austin DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Takashi Takenaka, Junji Kitamichi, Teruo Higashino, Kenichi Taniguchi Formal Design Verification for Correctness of Pipelined Microprocessors with Out-of-order Instruction Execution. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Bernhard Korte How Long Does a Bit Live in a Computer? (abstract). Search on Bibsonomy ESA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Gregor Polansek, Andrej Zemva, Andrej Trost HW/SW Co-Simulation of Target C++ Applications and Synthesizable HDL with Performance Estimation. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rolf Hakenes, Yiannos Manoli A Segmented Gray Code for Low-Power Microcontroller Address Buses. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rolf Hakenes, Yiannos Manoli Improving Microcontroller Power Consumption through a Segmented Gray Code Program Counter. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Joy Shetler, Brian Hemme, Chia Yang, Christopher Hinsz Prototyping New ILP Architectures Using FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Stephen B. Furber, Jim D. Garside, Steve Temple, Jianwei Liu, Paul Day, N. C. Paver AMULET2e: An Asynchronous Embedded Controller. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Low power, Microprocessors, Asynchronous design, Embedded control
12Tadaaki Yamauchi, Lance Hammond, Kunle Olukotun The Hierarchical Multi-Bank DRAM: A High-Performance Architecture for Memory Integrated with Processors. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Vivek Tiwari, Ryan Donnelly, Sharad Malik, Ricardo Gonzalez Dynamic Power Management for Microprocessors: A Case Study. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Manish Pandey, Richard Raimi, Randal E. Bryant, Magdy S. Abadir Formal Verification of Content Addressable Memories Using Symbolic Trajectory Evaluation. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah Optimization of custom MOS circuits by transistor sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF simulation, optimization, Circuits, gradients, transistor sizing
12Todd M. Austin, Gurindar S. Sohi High-Bandwidth Address Translation for Multiple-Issue Processors. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12H. A. Chow, Hussein M. Alnuweiri, Steve Casselman FPGA-based transformable computers for fast digital signal processing. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Trung A. Diep, Christopher Nelson, John Paul Shen Performance Evaluation of the PowerPC 620 Microarchitecture. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC
12Jaushin Lee, Janak H. Patel Architectural level test generation for microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Rolf Johansson 0002 On Single Event Upset Error Manifestation. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Tej Arora, Tony Leung, Karl N. Levitt, E. Thomas Schubert, Phillip J. Windley Report on the UCD Microcoded Viper Verification Project. Search on Bibsonomy HUG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12André Seznec, François Bodin Skewed-associative Caches. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF skewed-associative cache, cache, microprocessors, set-associative cache
12Jeffrey J. Joyce Totally Verified Systems: Linking Verified Software to Verified Hardware. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF machine-assisted theorem proving, safety-critical systems, higher-order logic, hardware verification, compiler correctness
12Hideo Matsuda, M. Kohata, T. Masuo, Yukio Kaneda, Sadao Maekawa Parallel Prolog Machine PARK: Its Hardware Structure and Prolog System. Search on Bibsonomy LP The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Morris E. Kranc A Separate Compilation Facility for Pascal. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
12David R. Barach, David H. Taenzer A Technique for Finding Storage Allocation Errors in C-language Programs. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
12Leslie Kohn Distributed processing with the NS16000 family. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
12Arun Joseph, Pretty Mariam Jacob, Matthias Klein, Wolfgang Roesner Efficient Aspect Verification and Debugging of High-Performance Microprocessor Designs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Roque Alfredo Osornio-Rios, Isaias Cueva-Perez, Alvaro Ivan Alvarado-Hernandez, Larisa Dunai 0001, Israel Zamudio-Ramírez, Jose Alfonso Antonino-Daviu FPGA-Microprocessor Based Sensor for Faults Detection in Induction Motors Using Time-Frequency and Machine Learning Methods. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Muhammad Usman Qadir, Izhar Ul Haq, Muhammad Awais Khan 0003, Kamran Shah, Houssam Chouikhi, Mohamed A. Ismail Design, Analysis, and Development of Low-Cost State-of-the-Art Magnetorheological-Based Microprocessor Prosthetic Knee. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Thomas Burd, Srividhya Venkataraman, Wilson Li, Timothy Johnson, Jerry Lee, Srikirti Velaga, Mark Wasio, Thomas Yiu, Franklin Bodine, Michael McCabe, Udin Salim, Santosh Kumar Thouta, Michael Golden, Sowmya Ramachandran, Gokul Subramani Lakshmi Devi, John Wu, Yarek Kuszczak, Gaurav Singla, Carson Henrion, Andy Robison, Sabeesh Balagangadharan, Umesh Nair, Naveen Srivastava, Hari Prasad, Mohini Polimetla, Phaneendra Chennupati, Eshwar Gupta, Mahesh Vykuntam, Sumantra Sarkar, Praveen Kumar Duvvuru, Theja Mardi, G. Swetha 2.2 "Zen 4c": The AMD 5nm Area-Optimized ×86-64 Microprocessor Core. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Bing-Chen Wu, Wei-Ting Chen, Tsung-Te Liu An Error-Resilient RISC-V Microprocessor With a Fully Integrated DC-DC Voltage Regulator for Near-Threshold Operation in 28-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Satyam Shukla, Punyesh Kumar Jha, Kailash Chandra Ray An energy-efficient single-cycle RV32I microprocessor for edge computing applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Hwijae Son, Hyunwoo Cho, Hyung Ju Hwang Physics-Informed Neural Networks for Microprocessor Thermal Management Model. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Songming Yu, Yifan He, Hongyang Jia, Wenyu Sun, Mufeng Zhou, Luchang Lei, Wentao Zhao, Guofu Ma, Huazhong Yang, Yongpan Liu A Heterogeneous Microprocessor Based on All-Digital Compute-in-Memory for End-to-End AIoT Inference. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Nikolaos Ioannis Deligiannis, Riccardo Cantoro, Matteo Sonza Reorda Automating the Generation of Programs Maximizing the Sustained Switching Activity in Microprocessor units via Evolutionary Techniques. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ramesh Krishnamoorthy, Kalimuthu Krishnan, Bharatiraja Chokkalingam Integrated analysis of power and performance for cutting edge Internet of Things microprocessor architectures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Alexander Aponte-Moreno, José Isaza-González, Alejandro Serrano-Cases, Antonio Martínez-Álvarez, Sergio Cuenca-Asensi, Felipe Restrepo-Calle Evaluation of fault injection tools for reliability estimation of microprocessor-based embedded systems. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Nikolaos Ioannis Deligiannis, Tobias Faller, Riccardo Cantoro, Tobias Paxian, Bernd Becker 0001, Matteo Sonza Reorda Automating the Generation of Programs Maximizing the Repeatable Constant Switching Activity in Microprocessor Units via MaxSAT. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Nadia Ibellaatti, Edouard Lepape, Alp Kiliç, Kaya Akyel, Kassem Chouayakh, Fabrizio Ferrandi, Claudio Barone, Serena Curzel, Michele Fiorito, Giovanni Gozzi, Miguel Masmano, Ana Risquez Navarro, Manuel Muñoz, Vicente Nicolau Gallego, Patricia López Cueva, Jean-noel Letrillard, Franck Wartel HERMES: qualification of High pErformance pRogrammable Microprocessor and dEvelopment of Software ecosystem. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Erick Carvajal Barboza, Mahesh Ketkar, Michael Kishinevsky, Paul Gratz, Jiang Hu Machine Learning for Microprocessor Performance Bug Localization. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Madhav P. Desai An evaluation of a microprocessor with two independent hardware execution threads coupled through a shared cache. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Alexander Walsemann, Michael Karagounis, Alexander Stanitzki, Dietmar Tutsch STRV - A radiation hard RISC-V microprocessor for high-energy physics applications. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Changliang Huang, Yuting Xu Psychological factors of sports injury caused by wireless communication of embedded microprocessor in social sports teaching and training. Search on Bibsonomy Wirel. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Shota Nakabeppu, Nobuyuki Yamasaki Non-Stop Microprocessor for Fault-Tolerant Real-Time Systems. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jack Li Link Multiple Courses to Enhance Students' Hands-on Practice on Microprocessor Systems. Search on Bibsonomy eIT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Johannes Kliemt, Dietmar Fey Work in Progress: Extending Virtual Prototypes of Microprocessor Architectures with Accuracy Tracing. Search on Bibsonomy SIMULTECH The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Nadia Ibellaatti, Edouard Lepape, Alp Kiliç, Kaya Akyel, Kassem Chouayakh, Fabrizio Ferrandi, Claudio Barone, Serena Curzel, Michele Fiorito, Giovanni Gozzi, Miguel Masmano, Ana Risquez Navarro, Manuel Muñoz, Vicente Nicolau Gallego, Patricia López Cueva, Jean-noel Letrillard, Franck Wartel HERMES: qualification of High pErformance pRogrammable Microprocessor and dEvelopment of Software ecosystem. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zicheng He, Ao Shen, Qiufeng Li, Quan Cheng, Hao Yu 0001 Agile Hardware and Software Co-Design for RISC-V-Based Multi-Precision Deep Learning Microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Fei Dong, Wenguang Zuo, Hongyang Xie, Xinyu Wang, Qinglei Hu An Embedded MPC for High-Speed Trajectory Tracking of Piezoelectric Actuators with Implementation on an ARM Microprocessor. Search on Bibsonomy CDC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Armando Y. Montoya, Miguel Jiménez-Aparicio, Javier Hernandez-Alvidrez, Matthew J. Reno A Fast Microprocessor-Based Traveling Wave Fault Detection System for Electrical Power Networks. Search on Bibsonomy ISGT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Marcello Barbirotta, Marco Angioli, Antonio Mastrandrea, Abdallah Cheikh, Saeid Jamili, Francesco Menichelli, Mauro Olivieri Single Event Transient Reliability Analysis on a Fault-Tolerant RISC-V Microprocessor Design. Search on Bibsonomy ApplePies The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Aleksi Korsman, Verneri Hirvonen, Otto Simola, Antti Tarkka, Marko Kosunen, Jussi Ryynänen End-to-End Multi-Target Verification Environment for a RISC-V Microprocessor. Search on Bibsonomy SMACD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Benjamin Munger, Kathy Wilcox, Jeshuah Sniderman, Chuck Tung, Brett Johnson, Russell Schreiber, Carson Henrion, Kevin Gillespie, Tom Burd, Harry R. Fair III, Dave Johnson 0002, Jonathan White, Scott McLelland, Steven Bakke, Javin Olson, Ryan McCracken, Matthew Pickett, Aaron Horiuchi, Hien Nguyen, Tim Jackson "Zen 4": The AMD 5nm 5.7GHz x86-64 Microprocessor Core. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Luo Jiahui, Tomoyuki Morimoto, Tadahiro Ogita, Ryota Kawamata, Zi-Ming Wang, Toshiyuki Tsutsumi Microprocessor Instruction Design Tool for RISC-V Architecture. Search on Bibsonomy ISCIT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ali Abdelli, Wajih El Hadj Youssef, Mohsen Machhout Integration of Lightweights Blocks Ciphers as an Extension into Microprocessor for IoT Security. Search on Bibsonomy SSD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Donald E. Owen, Jithin Joseph, Jim Plusquellic, Tom J. Mannos, Brian Dziki Node Monitoring as a Fault Detection Countermeasure against Information Leakage within a RISC-V Microprocessor. Search on Bibsonomy Cryptogr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Dan Luo, Tun Li, Liqian Chen, Hongji Zou, Mingchuan Shi Grammar-based fuzz testing for microprocessor RTL design. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Kleber Stangherlin, Manoj Sachdev Design and Implementation of a Secure RISC-V Microprocessor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Bo Liu 0019, Hao Cai, Zilong Zhang, Xiaoling Ding, Ziyu Wang, Yu Gong, Weiqiang Liu 0001, Jinjiang Yang, Zhen Wang 0019, Jun Yang 0006 More is Less: Domain-Specific Speech Recognition Microprocessor Using One-Dimensional Convolutional Recurrent Neural Network. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jingjing Ji, Feng Liang Influence of Embedded Microprocessor Wireless Communication and Computer Vision in Wushu Competition Referees' Decision Support. Search on Bibsonomy Wirel. Commun. Mob. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Qiao Chen, Shihong Liu Influence of Network Multimedia Nutritional Supplements on Basketball Exercise Fatigue Based on Embedded Microprocessor. Search on Bibsonomy Wirel. Commun. Mob. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jim Plusquellic, Donald E. Owen, Tom J. Mannos, Brian Dziki Information Leakage Analysis Using a Co-Design-Based Fault Injection Technique on a RISC-V Microprocessor. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Keyu Chen, Xuyi Hu, Robert Killey Microprocessor Design with Dynamic Clock Source and Multi-Width Instructions. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Saad Abbasi, Alexander Wong, Mohammad Javad Shafiee MAPLE-X: Latency Prediction with Explicit Microprocessor Prior Knowledge. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Kleber Stangherlin, Manoj Sachdev Design and Implementation of a Secure RISC-V Microprocessor. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Srivatsan Krishnan, Natasha Jaques, Shayegan Omidshafiei, Dan Zhang, Izzeddin Gur, Vijay Janapa Reddi, Aleksandra Faust Multi-Agent Reinforcement Learning for Microprocessor Design Space Exploration. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Kamakoti Veezhinathan Building the SHAKTI microprocessor. Search on Bibsonomy Commun. ACM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12 Retraction Note: Innovative design of wall painting pattern based on microprocessor system and evolutionary computer technology. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zaheer Tabassam, Syed Rameez Naqvi, Andreas Steininger AµFLIPS: An Asynchronous Microprocessor With FLexIbly-timed Pipeline Stages. Search on Bibsonomy DDECS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Hongji Zou, Mingchuan Shi, Tun Li, WanXia Qu Towards Implementing RTL Microprocessor Agile Design Using Feature Oriented Programming. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Flavien Solt, Patrick Jattke, Kaveh Razavi RemembERR: Leveraging Microprocessor Errata for Design Testing and Validation. Search on Bibsonomy MICRO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jianmin Zhang, Ziming Chen, Li Zhang ASMAMC: A Specific Microprocessor Architecture for Monte Carlo Method. Search on Bibsonomy HPCC/DSS/SmartCity/DependSys The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Marco Ronchi, Francesco Malena, Michele Caselli, Devis Gatti, Ermano Picco, Elena Salurso, Marco Sosio, Lucio Ticli, Alessandro Tomasoni, Eusebio Di-Cola, Tommaso Majo, Fabio Osnato, Elio Guidetti, Andrea Boni An Integrated Low-power 802.11ba Wake-up Radio for IoT with Embedded Microprocessor. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Bingcai Sui, Caixia Sun, Yongwen Wang, Hui Guo Design and optimization of Issue queue in Out-of-Order superscalar microprocessor. Search on Bibsonomy CACML The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sunanda Roy, Angelos Stavrou, Brian L. Mark, Kai Zeng 0001, Sai Manoj P. D., Khaled N. Khasawneh Characterization of AES Implementations on Microprocessor-based IoT Devices. Search on Bibsonomy WCNC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Muya Chang, Samuel D. Spetalnick, Brian Crafton, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury A 40nm 60.64TOPS/W ECC-Capable Compute-in-Memory/Digital 2.25MB/768KB RRAM/SRAM System with Embedded Cortex M3 Microprocessor for Edge Recommendation Systems. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Thomas Burd, Wilson Li, James Pistole, Srividhya Venkataraman, Michael McCabe, Timothy Johnson, James Vinh, Thomas Yiu, Mark Wasio, Hon-Hin Wong, Daryl Lieu, Jonathan White, Benjamin Munger, Joshua Lindner, Javin Olson, Steven Bakke, Jeshuah Sniderman, Carson Henrion, Russell Schreiber, Eric Busta, Brett Johnson, Tim Jackson, Aron Miller, Ryan Miller, Matthew Pickett, Aaron Horiuchi, Josef Dvorak, Sabeesh Balagangadharan, Sajeesh Ammikkallingal, Pankaj Kumar Zen3: The AMD 2nd-Generation 7nm x86-64 Microprocessor Core. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Hikmet Çeliker, Antony Sou, Brian Cobb, Wim Dehaene, Kris Myny Flex6502: A Flexible 8b Microprocessor in 0.8µm Metal-Oxide Thin-Film Transistor Technology Implemented with a Complete Digital Design Flow Running Complex Assembly Code. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Saad Abbasi, Alexander Wong, Mohammad Javad Shafiee MAPLE: Microprocessor A Priori for Latency Estimation. Search on Bibsonomy CVPR Workshops The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Argyrios Sideris, Theodora Sanida, Antonios Chatzisavvas, Michael F. Dossis, Minas Dasygenis High Throughput of Image Processing with Keccak Algorithm using Microprocessor on FPGA. Search on Bibsonomy SEEDA-CECNSM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Angelos Zacharia, Dimitris Zacharia, Aristeidis Karras, Christos N. Karras, Ioanna Giannoukou, Konstantinos C. Giotopoulos, Spyros Sioutas An Intelligent Microprocessor Integrating TinyML in Smart Hotels for Rapid Accident Prevention. Search on Bibsonomy SEEDA-CECNSM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Tomoyuki Morimoto, Shunsuke Onogi, Tadahiro Ogita, Ryota Kawamata, Ziming Wang, Toshiyuki Tsutsumi Visualization Tool of Microprocessor Instruction for Designing New Architecture with Meta-Instructions. Search on Bibsonomy ISCIT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Esteban Garita-Rodríguez, Renato Rimolo-Donadio, Rafael Zamora-Salazar Challenges for High Volume Testing of Embedded IO Interfaces in Disaggregated Microprocessor Products. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Nazli Tokatli, Isa Ahmet Güney, Sercan Sari, Merve Yildiz Güney, Ugur Nezir, Gürhan Küçük ShapeShifter: a morphable microprocessor for low power. Search on Bibsonomy Turkish J. Electr. Eng. Comput. Sci. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Christopher L. Ayala, Tomoyuki Tanaka, Ro Saito, Mai Nozoe, Naoki Takeuchi, Nobuyuki Yoshikawa MANA: A Monolithic Adiabatic iNtegration Architecture Microprocessor Using 1.4-zJ/op Unshunted Superconductor Josephson Junction Devices. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Ravi R. Iyer 0001, Vivek De, Ramesh Illikkal, David A. Koufaty, Bhushan Chitlur, Andrew Herdrich, Muhammad M. Khellah, Fatih Hamzaoglu, Eric Karl Advances in Microprocessor Cache Architectures Over the Last 25 Years. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Lizy Kurian John, Vijaykrishnan Narayanan Microprocessor at 50: Industry Leaders Speak. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Federico Faggin The Birth of the Microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Murray Goldman Last Chance: The Motorola Microprocessor Story. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Dave Christie, Mike Clark, Mike Schulte 0001 What Made Us Stronger: An Inside Look Back at the History of AMD Microprocessor Development. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12D. M. G. Preethichandra Z80 - The 1970s Microprocessor Still Alive. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 4097 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license