|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2784 occurrences of 1319 keywords
|
|
|
Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | J Strother Moore |
Functional formal methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the Seventh ACM SIGPLAN International Conference on Functional Programming (ICFP '02), Pittsburgh, Pennsylvania, USA, October 4-6, 2002., pp. 123, 2002, ACM, 1-58113-487-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
functional programming, Java Virtual Machine, microarchitecture, software verification, Common Lisp, hardware verification, mechanical theorem proving |
12 | Edith Kussener, Hervé Barthélemy, Alexandre Malherbe, Andreas Kaiser |
Versatile macromodel for the power supply of submicronic CMOS microprocessors based on voltage down DC-DC converter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 821-824, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Cecilia Metra, Stefano Di Francescantonio, T. M. Mak |
Clock Faults? Impact on Manufacturing Testing and Their Possible Detection Through On-Line Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 2002, Baltimore, MD, USA, October 7-10, 2002, pp. 100-109, 2002, IEEE Computer Society, 0-7803-7543-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Ching-Hong Tsai, Cheng-Wen Wu |
Processor-programmable memory BIST for bus-connected embedded memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 325-330, 2001, ACM, 0-7803-6634-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Kenneth Rose |
A comprehensive look at system level model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Third IEEE/ACM International Workshop on System-Level Interconnect Prediction (SLIP 2001), March 31 - April 1, 2001, DoubleTree Hotel, Rohnert Park, CA, USA, Proceedings, pp. 69-87, 2001, ACM. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Shervin Hojat, Paul Kartschoke |
Techniques for Improving Timing Convergence of Advanced Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 26th EUROMICRO 2000 Conference, Informatics: Inventing the Future, 5-7 September 2000, Maastricht, The Netherlands, pp. 1300-1306, 2000, IEEE Computer Society, 0-7695-0780-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Fu-Chiung Cheng, Chuin-Ren Wang |
Specification and Design of a Quasi-Delay-Insensitive Java Card. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 356-361, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Zarka Cvetanovic, Richard E. Kessler |
Performance analysis of the Alpha 21264-based Compaq ES40 system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 192-202, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Luiz André Barroso, Kourosh Gharachorloo, Robert McNamara, Andreas Nowatzyk, Shaz Qadeer, Barton Sano, Scott Smith, Robert Stets, Ben Verghese |
Piranha: a scalable architecture based on single-chip multiprocessing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 282-293, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Mahadevamurty Nemani, Vivek Tiwari |
Macro-driven circuit design methodology for high-performance datapaths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 661-666, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Mark D. Aagaard, Robert B. Jones, Roope Kaivola, Katherine R. Kohatsu, Carl-Johan H. Seger |
Formal verification of iterative algorithms in microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 201-206, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Todd M. Austin |
DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 196-207, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Takashi Takenaka, Junji Kitamichi, Teruo Higashino, Kenichi Taniguchi |
Formal Design Verification for Correctness of Pipelined Microprocessors with Out-of-order Instruction Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999, pp. 177-180, 1999, IEEE Computer Society, 0-7803-5012-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Bernhard Korte |
How Long Does a Bit Live in a Computer? (abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Algorithms - ESA '99, 7th Annual European Symposium, Prague, Czech Republic, July 16-18, 1999, Proceedings, pp. 28, 1999, Springer, 3-540-66251-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Gregor Polansek, Andrej Zemva, Andrej Trost |
HW/SW Co-Simulation of Target C++ Applications and Synthesizable HDL with Performance Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy, pp. 1468-1471, 1999, IEEE Computer Society, 0-7695-0321-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Rolf Hakenes, Yiannos Manoli |
A Segmented Gray Code for Low-Power Microcontroller Address Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy, pp. 1240-1243, 1999, IEEE Computer Society, 0-7695-0321-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Rolf Hakenes, Yiannos Manoli |
Improving Microcontroller Power Consumption through a Segmented Gray Code Program Counter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 277-278, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Joy Shetler, Brian Hemme, Chia Yang, Christopher Hinsz |
Prototyping New ILP Architectures Using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic and Applications, From FPGAs to Computing Paradigm, 8th International Workshop, FPL'98, Tallinn, Estonia, August 31 - September 3, 1998, Proceedings, pp. 109-118, 1998, Springer, 3-540-64948-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
12 | Stephen B. Furber, Jim D. Garside, Steve Temple, Jianwei Liu, Paul Day, N. C. Paver |
AMULET2e: An Asynchronous Embedded Controller. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 7-10 April 1997, Eindhoven, The Netherlands, pp. 290-, 1997, IEEE Computer Society, 0-8186-7922-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Low power, Microprocessors, Asynchronous design, Embedded control |
12 | Tadaaki Yamauchi, Lance Hammond, Kunle Olukotun |
The Hierarchical Multi-Bank DRAM: A High-Performance Architecture for Memory Integrated with Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 17th Conference on Advanced Research in VLSI (ARVLSI '97), September 15-16, 1997, Ann Arbor, MI, USA, pp. 303-319, 1997, IEEE Computer Society, 0-8186-7913-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
12 | Vivek Tiwari, Ryan Donnelly, Sharad Malik, Ricardo Gonzalez |
Dynamic Power Management for Microprocessors: A Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 10th International Conference on VLSI Design (VLSI Design 1997), 4-7 January 1997, Hyderabad, India, pp. 185-192, 1997, IEEE Computer Society, 0-8186-7755-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
12 | Manish Pandey, Richard Raimi, Randal E. Bryant, Magdy S. Abadir |
Formal Verification of Content Addressable Memories Using Symbolic Trajectory Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997., pp. 167-172, 1997, ACM Press, 0-89791-920-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
12 | Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah |
Optimization of custom MOS circuits by transistor sizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 174-180, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
simulation, optimization, Circuits, gradients, transistor sizing |
12 | Todd M. Austin, Gurindar S. Sohi |
High-Bandwidth Address Translation for Multiple-Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 158-167, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
12 | H. A. Chow, Hussein M. Alnuweiri, Steve Casselman |
FPGA-based transformable computers for fast digital signal processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 3rd IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '95), 19-21 April 1995, Napa Valley, CA, USA, pp. 197-203, 1995, IEEE Computer Society, 0-8186-7086-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
12 | Trung A. Diep, Christopher Nelson, John Paul Shen |
Performance Evaluation of the PowerPC 620 Microarchitecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 163-175, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
PowerPC |
12 | Jaushin Lee, Janak H. Patel |
Architectural level test generation for microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(10), pp. 1288-1300, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Rolf Johansson 0002 |
On Single Event Upset Error Manifestation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDCC ![In: Dependable Computing - EDCC-1, First European Dependable Computing Conference, Berlin, Germany, October 4-6, 1994, Proceedings, pp. 217-231, 1994, Springer, 3-540-58426-9. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Tej Arora, Tony Leung, Karl N. Levitt, E. Thomas Schubert, Phillip J. Windley |
Report on the UCD Microcoded Viper Verification Project. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HUG ![In: Higher Order Logic Theorem Proving and its Applications, 6th International Workshop, HUG '93, Vancouver, BC, Canada, August 11-13, 1993, Proceedings, pp. 239-252, 1993, Springer, 3-540-57826-9. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
12 | André Seznec, François Bodin |
Skewed-associative Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARLE ![In: PARLE '93, Parallel Architectures and Languages Europe, 5th International PARLE Conference, Munich, Germany, June 14-17, 1993, Proceedings, pp. 304-316, 1993, Springer, 3-540-56891-3. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
skewed-associative cache, cache, microprocessors, set-associative cache |
12 | Jeffrey J. Joyce |
Totally Verified Systems: Linking Verified Software to Verified Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hardware Specification, Verification and Synthesis ![In: Hardware Specification, Verification and Synthesis: Mathematical Aspects, Mathematical Science Institute Workshop, Cornall University, Ithaca, New York, USA, July 5-7, 1989, Proceedings, pp. 177-201, 1989, Springer, 3-540-97226-9. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
machine-assisted theorem proving, safety-critical systems, higher-order logic, hardware verification, compiler correctness |
12 | Hideo Matsuda, M. Kohata, T. Masuo, Yukio Kaneda, Sadao Maekawa |
Parallel Prolog Machine PARK: Its Hardware Structure and Prolog System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LP ![In: Logic Programming '85, Proceedings of the 4th Conference, Tokyo, Japan, July 1-3, 1985, pp. 35-43, 1985, Springer, 3-540-16479-0. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
12 | Morris E. Kranc |
A Separate Compilation Facility for Pascal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 17(5), pp. 38-46, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
12 | David R. Barach, David H. Taenzer |
A Technique for Finding Storage Allocation Errors in C-language Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 17(5), pp. 16-23, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
12 | Leslie Kohn |
Distributed processing with the NS16000 family. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1982 National Computer Conference, 7-10 June, 1982, Houston, Texas, USA, pp. 199-204, 1982, AFIPS Press, 0-88283-035-X. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
12 | Arun Joseph, Pretty Mariam Jacob, Matthias Klein, Wolfgang Roesner |
Efficient Aspect Verification and Debugging of High-Performance Microprocessor Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test ![In: IEEE Des. Test 41(3), pp. 36-46, June 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Roque Alfredo Osornio-Rios, Isaias Cueva-Perez, Alvaro Ivan Alvarado-Hernandez, Larisa Dunai 0001, Israel Zamudio-Ramírez, Jose Alfonso Antonino-Daviu |
FPGA-Microprocessor Based Sensor for Faults Detection in Induction Motors Using Time-Frequency and Machine Learning Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 24(8), pp. 2653, April 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Muhammad Usman Qadir, Izhar Ul Haq, Muhammad Awais Khan 0003, Kamran Shah, Houssam Chouikhi, Mohamed A. Ismail |
Design, Analysis, and Development of Low-Cost State-of-the-Art Magnetorheological-Based Microprocessor Prosthetic Knee. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 24(1), pp. 255, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Thomas Burd, Srividhya Venkataraman, Wilson Li, Timothy Johnson, Jerry Lee, Srikirti Velaga, Mark Wasio, Thomas Yiu, Franklin Bodine, Michael McCabe, Udin Salim, Santosh Kumar Thouta, Michael Golden, Sowmya Ramachandran, Gokul Subramani Lakshmi Devi, John Wu, Yarek Kuszczak, Gaurav Singla, Carson Henrion, Andy Robison, Sabeesh Balagangadharan, Umesh Nair, Naveen Srivastava, Hari Prasad, Mohini Polimetla, Phaneendra Chennupati, Eshwar Gupta, Mahesh Vykuntam, Sumantra Sarkar, Praveen Kumar Duvvuru, Theja Mardi, G. Swetha |
2.2 "Zen 4c": The AMD 5nm Area-Optimized ×86-64 Microprocessor Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024, pp. 38-40, 2024, IEEE, 979-8-3503-0620-0. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Bing-Chen Wu, Wei-Ting Chen, Tsung-Te Liu |
An Error-Resilient RISC-V Microprocessor With a Fully Integrated DC-DC Voltage Regulator for Near-Threshold Operation in 28-nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 58(11), pp. 3275-3285, November 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Satyam Shukla, Punyesh Kumar Jha, Kailash Chandra Ray |
An energy-efficient single-cycle RV32I microprocessor for edge computing applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 88, pp. 233-240, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Hwijae Son, Hyunwoo Cho, Hyung Ju Hwang |
Physics-Informed Neural Networks for Microprocessor Thermal Management Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 11, pp. 122974-122979, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Songming Yu, Yifan He, Hongyang Jia, Wenyu Sun, Mufeng Zhou, Luchang Lei, Wentao Zhao, Guofu Ma, Huazhong Yang, Yongpan Liu |
A Heterogeneous Microprocessor Based on All-Digital Compute-in-Memory for End-to-End AIoT Inference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. II Express Briefs ![In: IEEE Trans. Circuits Syst. II Express Briefs 70(8), pp. 3099-3103, August 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Nikolaos Ioannis Deligiannis, Riccardo Cantoro, Matteo Sonza Reorda |
Automating the Generation of Programs Maximizing the Sustained Switching Activity in Microprocessor units via Evolutionary Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 98, pp. 104775, April 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Ramesh Krishnamoorthy, Kalimuthu Krishnan, Bharatiraja Chokkalingam |
Integrated analysis of power and performance for cutting edge Internet of Things microprocessor architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 98, pp. 104815, April 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Alexander Aponte-Moreno, José Isaza-González, Alejandro Serrano-Cases, Antonio Martínez-Álvarez, Sergio Cuenca-Asensi, Felipe Restrepo-Calle |
Evaluation of fault injection tools for reliability estimation of microprocessor-based embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 96, pp. 104723, February 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Nikolaos Ioannis Deligiannis, Tobias Faller, Riccardo Cantoro, Tobias Paxian, Bernd Becker 0001, Matteo Sonza Reorda |
Automating the Generation of Programs Maximizing the Repeatable Constant Switching Activity in Microprocessor Units via MaxSAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(11), pp. 4270-4281, November 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Nadia Ibellaatti, Edouard Lepape, Alp Kiliç, Kaya Akyel, Kassem Chouayakh, Fabrizio Ferrandi, Claudio Barone, Serena Curzel, Michele Fiorito, Giovanni Gozzi, Miguel Masmano, Ana Risquez Navarro, Manuel Muñoz, Vicente Nicolau Gallego, Patricia López Cueva, Jean-noel Letrillard, Franck Wartel |
HERMES: qualification of High pErformance pRogrammable Microprocessor and dEvelopment of Software ecosystem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2302.06427, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Erick Carvajal Barboza, Mahesh Ketkar, Michael Kishinevsky, Paul Gratz, Jiang Hu |
Machine Learning for Microprocessor Performance Bug Localization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2303.15280, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Madhav P. Desai |
An evaluation of a microprocessor with two independent hardware execution threads coupled through a shared cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2305.17773, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Alexander Walsemann, Michael Karagounis, Alexander Stanitzki, Dietmar Tutsch |
STRV - A radiation hard RISC-V microprocessor for high-energy physics applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2304.02410, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Changliang Huang, Yuting Xu |
Psychological factors of sports injury caused by wireless communication of embedded microprocessor in social sports teaching and training. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Networks ![In: Wirel. Networks 29(3), pp. 1411-1419, April 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Shota Nakabeppu, Nobuyuki Yamasaki |
Non-Stop Microprocessor for Fault-Tolerant Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 106(7), pp. 365-381, July 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Jack Li |
Link Multiple Courses to Enhance Students' Hands-on Practice on Microprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
eIT ![In: IEEE International Conference on Electro Information Technology, eIT 2023, Romeoville, IL, USA, May 18-20, 2023, pp. 464-467, 2023, IEEE, 978-1-6654-9376-5. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Johannes Kliemt, Dietmar Fey |
Work in Progress: Extending Virtual Prototypes of Microprocessor Architectures with Accuracy Tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIMULTECH ![In: Proceedings of the 13th International Conference on Simulation and Modeling Methodologies, Technologies and Applications, SIMULTECH 2023, Rome, Italy, July 12-14, 2023., pp. 409-416, 2023, SCITEPRESS, 978-989-758-668-2. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Nadia Ibellaatti, Edouard Lepape, Alp Kiliç, Kaya Akyel, Kassem Chouayakh, Fabrizio Ferrandi, Claudio Barone, Serena Curzel, Michele Fiorito, Giovanni Gozzi, Miguel Masmano, Ana Risquez Navarro, Manuel Muñoz, Vicente Nicolau Gallego, Patricia López Cueva, Jean-noel Letrillard, Franck Wartel |
HERMES: qualification of High pErformance pRogrammable Microprocessor and dEvelopment of Software ecosystem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023, pp. 1-5, 2023, IEEE, 978-3-9819263-7-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Zicheng He, Ao Shen, Qiufeng Li, Quan Cheng, Hao Yu 0001 |
Agile Hardware and Software Co-Design for RISC-V-Based Multi-Precision Deep Learning Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 28th Asia and South Pacific Design Automation Conference, ASPDAC 2023, Tokyo, Japan, January 16-19, 2023, pp. 490-495, 2023, ACM, 978-1-4503-9783-4. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Fei Dong, Wenguang Zuo, Hongyang Xie, Xinyu Wang, Qinglei Hu |
An Embedded MPC for High-Speed Trajectory Tracking of Piezoelectric Actuators with Implementation on an ARM Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: 62nd IEEE Conference on Decision and Control, CDC 2023, Singapore, December 13-15, 2023, pp. 5729-5734, 2023, IEEE, 979-8-3503-0124-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Armando Y. Montoya, Miguel Jiménez-Aparicio, Javier Hernandez-Alvidrez, Matthew J. Reno |
A Fast Microprocessor-Based Traveling Wave Fault Detection System for Electrical Power Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISGT ![In: IEEE Power & Energy Society Innovative Smart Grid Technologies Conference, ISGT 2023, Washington, DC, USA, January 16-19, 2023, pp. 1-5, 2023, IEEE, 978-1-6654-5355-4. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Marcello Barbirotta, Marco Angioli, Antonio Mastrandrea, Abdallah Cheikh, Saeid Jamili, Francesco Menichelli, Mauro Olivieri |
Single Event Transient Reliability Analysis on a Fault-Tolerant RISC-V Microprocessor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ApplePies ![In: Applications in Electronics Pervading Industry, Environment and Society - APPLEPIES 2023, Genoa, Italy, 28-29 September 2023., pp. 42-48, 2023, Springer, 978-3-031-48120-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Aleksi Korsman, Verneri Hirvonen, Otto Simola, Antti Tarkka, Marko Kosunen, Jussi Ryynänen |
End-to-End Multi-Target Verification Environment for a RISC-V Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMACD ![In: 19th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, SMACD 2023, Funchal, Portugal, July 3-5, 2023, pp. 1-4, 2023, IEEE, 979-8-3503-3265-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Benjamin Munger, Kathy Wilcox, Jeshuah Sniderman, Chuck Tung, Brett Johnson, Russell Schreiber, Carson Henrion, Kevin Gillespie, Tom Burd, Harry R. Fair III, Dave Johnson 0002, Jonathan White, Scott McLelland, Steven Bakke, Javin Olson, Ryan McCracken, Matthew Pickett, Aaron Horiuchi, Hien Nguyen, Tim Jackson |
"Zen 4": The AMD 5nm 5.7GHz x86-64 Microprocessor Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid- State Circuits Conference, ISSCC 2023, San Francisco, CA, USA, February 19-23, 2023, pp. 38-39, 2023, IEEE, 978-1-6654-9016-0. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Luo Jiahui, Tomoyuki Morimoto, Tadahiro Ogita, Ryota Kawamata, Zi-Ming Wang, Toshiyuki Tsutsumi |
Microprocessor Instruction Design Tool for RISC-V Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIT ![In: 22nd International Symposium on Communications and Information Technologies, ISCIT 2023, Sydney, Australia, October 16-18, 2023, pp. 1-6, 2023, IEEE, 978-1-6654-5731-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Ali Abdelli, Wajih El Hadj Youssef, Mohsen Machhout |
Integration of Lightweights Blocks Ciphers as an Extension into Microprocessor for IoT Security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSD ![In: 20th International Multi-Conference on Systems, Signals & Devices, SSD 2023, Mahdia, Tunisia, February 20-23, 2023, pp. 243-248, 2023, IEEE, 979-8-3503-3256-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Donald E. Owen, Jithin Joseph, Jim Plusquellic, Tom J. Mannos, Brian Dziki |
Node Monitoring as a Fault Detection Countermeasure against Information Leakage within a RISC-V Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cryptogr. ![In: Cryptogr. 6(3), pp. 38, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Dan Luo, Tun Li, Liqian Chen, Hongji Zou, Mingchuan Shi |
Grammar-based fuzz testing for microprocessor RTL design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 86, pp. 64-73, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Kleber Stangherlin, Manoj Sachdev |
Design and Implementation of a Secure RISC-V Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 30(11), pp. 1705-1715, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Bo Liu 0019, Hao Cai, Zilong Zhang, Xiaoling Ding, Ziyu Wang, Yu Gong, Weiqiang Liu 0001, Jinjiang Yang, Zhen Wang 0019, Jun Yang 0006 |
More is Less: Domain-Specific Speech Recognition Microprocessor Using One-Dimensional Convolutional Recurrent Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 69(4), pp. 1571-1582, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Jingjing Ji, Feng Liang |
Influence of Embedded Microprocessor Wireless Communication and Computer Vision in Wushu Competition Referees' Decision Support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Commun. Mob. Comput. ![In: Wirel. Commun. Mob. Comput. 2022, pp. 2121573:1-2121573:13, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Qiao Chen, Shihong Liu |
Influence of Network Multimedia Nutritional Supplements on Basketball Exercise Fatigue Based on Embedded Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Commun. Mob. Comput. ![In: Wirel. Commun. Mob. Comput. 2022, pp. 7900467:1-7900467:13, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Jim Plusquellic, Donald E. Owen, Tom J. Mannos, Brian Dziki |
Information Leakage Analysis Using a Co-Design-Based Fault Injection Technique on a RISC-V Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(3), pp. 438-451, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Keyu Chen, Xuyi Hu, Robert Killey |
Microprocessor Design with Dynamic Clock Source and Multi-Width Instructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2211.04455, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Saad Abbasi, Alexander Wong, Mohammad Javad Shafiee |
MAPLE-X: Latency Prediction with Explicit Microprocessor Prior Knowledge. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2205.12660, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Kleber Stangherlin, Manoj Sachdev |
Design and Implementation of a Secure RISC-V Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2205.05095, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Srivatsan Krishnan, Natasha Jaques, Shayegan Omidshafiei, Dan Zhang, Izzeddin Gur, Vijay Janapa Reddi, Aleksandra Faust |
Multi-Agent Reinforcement Learning for Microprocessor Design Space Exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2211.16385, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Kamakoti Veezhinathan |
Building the SHAKTI microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 65(11), pp. 48-51, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | |
Retraction Note: Innovative design of wall painting pattern based on microprocessor system and evolutionary computer technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURASIP J. Adv. Signal Process. ![In: EURASIP J. Adv. Signal Process. 2022(1), pp. 77, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Zaheer Tabassam, Syed Rameez Naqvi, Andreas Steininger |
AµFLIPS: An Asynchronous Microprocessor With FLexIbly-timed Pipeline Stages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2022, Prague, Czech Republic, April 6-8, 2022, pp. 32-37, 2022, IEEE, 978-1-6654-9431-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Hongji Zou, Mingchuan Shi, Tun Li, WanXia Qu |
Towards Implementing RTL Microprocessor Agile Design Using Feature Oriented Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022, pp. 472-477, 2022, IEEE, 978-3-9819263-6-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Flavien Solt, Patrick Jattke, Kaveh Razavi |
RemembERR: Leveraging Microprocessor Errata for Design Testing and Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 55th IEEE/ACM International Symposium on Microarchitecture, MICRO 2022, Chicago, IL, USA, October 1-5, 2022, pp. 1126-1143, 2022, IEEE, 978-1-6654-6272-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Jianmin Zhang, Ziming Chen, Li Zhang |
ASMAMC: A Specific Microprocessor Architecture for Monte Carlo Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/DSS/SmartCity/DependSys ![In: 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, Cloud & Big Data Systems & Application, HPCC/DSS/SmartCity/DependSys 2022, Hainan, China, December 18-20, 2022, pp. 922-927, 2022, IEEE, 979-8-3503-1993-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Marco Ronchi, Francesco Malena, Michele Caselli, Devis Gatti, Ermano Picco, Elena Salurso, Marco Sosio, Lucio Ticli, Alessandro Tomasoni, Eusebio Di-Cola, Tommaso Majo, Fabio Osnato, Elio Guidetti, Andrea Boni |
An Integrated Low-power 802.11ba Wake-up Radio for IoT with Embedded Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS 2022 ![In: 29th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2022, Glasgow, United Kingdom, October 24-26, 2022, pp. 1-4, 2022, IEEE, 978-1-6654-8823-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Bingcai Sui, Caixia Sun, Yongwen Wang, Hui Guo |
Design and optimization of Issue queue in Out-of-Order superscalar microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CACML ![In: Asia Conference on Algorithms, Computing and Machine Learning, CACML 2011, Hangzhou, China, March 25-27, 2022, pp. 294-298, 2022, IEEE, 978-1-6654-8290-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Sunanda Roy, Angelos Stavrou, Brian L. Mark, Kai Zeng 0001, Sai Manoj P. D., Khaled N. Khasawneh |
Characterization of AES Implementations on Microprocessor-based IoT Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: IEEE Wireless Communications and Networking Conference, WCNC 2022, Austin, TX, USA, April 10-13, 2022, pp. 55-60, 2022, IEEE, 978-1-6654-4266-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Muya Chang, Samuel D. Spetalnick, Brian Crafton, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury |
A 40nm 60.64TOPS/W ECC-Capable Compute-in-Memory/Digital 2.25MB/768KB RRAM/SRAM System with Embedded Cortex M3 Microprocessor for Edge Recommendation Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022, pp. 1-3, 2022, IEEE, 978-1-6654-2800-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Thomas Burd, Wilson Li, James Pistole, Srividhya Venkataraman, Michael McCabe, Timothy Johnson, James Vinh, Thomas Yiu, Mark Wasio, Hon-Hin Wong, Daryl Lieu, Jonathan White, Benjamin Munger, Joshua Lindner, Javin Olson, Steven Bakke, Jeshuah Sniderman, Carson Henrion, Russell Schreiber, Eric Busta, Brett Johnson, Tim Jackson, Aron Miller, Ryan Miller, Matthew Pickett, Aaron Horiuchi, Josef Dvorak, Sabeesh Balagangadharan, Sajeesh Ammikkallingal, Pankaj Kumar |
Zen3: The AMD 2nd-Generation 7nm x86-64 Microprocessor Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022, pp. 1-3, 2022, IEEE, 978-1-6654-2800-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Hikmet Çeliker, Antony Sou, Brian Cobb, Wim Dehaene, Kris Myny |
Flex6502: A Flexible 8b Microprocessor in 0.8µm Metal-Oxide Thin-Film Transistor Technology Implemented with a Complete Digital Design Flow Running Complex Assembly Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022, pp. 272-274, 2022, IEEE, 978-1-6654-2800-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Saad Abbasi, Alexander Wong, Mohammad Javad Shafiee |
MAPLE: Microprocessor A Priori for Latency Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR Workshops ![In: IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, CVPR Workshops 2022, New Orleans, LA, USA, June 19-20, 2022, pp. 2746-2755, 2022, IEEE, 978-1-6654-8739-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Argyrios Sideris, Theodora Sanida, Antonios Chatzisavvas, Michael F. Dossis, Minas Dasygenis |
High Throughput of Image Processing with Keccak Algorithm using Microprocessor on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEEDA-CECNSM ![In: 7th South-East Europe Design Automation, Computer Engineering, Computer Networks and Social Media Conference, SEEDA-CECNSM 2022, Ioannina, Greece, September 23-25, 2022, pp. 1-4, 2022, IEEE, 979-8-3503-9858-8. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Angelos Zacharia, Dimitris Zacharia, Aristeidis Karras, Christos N. Karras, Ioanna Giannoukou, Konstantinos C. Giotopoulos, Spyros Sioutas |
An Intelligent Microprocessor Integrating TinyML in Smart Hotels for Rapid Accident Prevention. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEEDA-CECNSM ![In: 7th South-East Europe Design Automation, Computer Engineering, Computer Networks and Social Media Conference, SEEDA-CECNSM 2022, Ioannina, Greece, September 23-25, 2022, pp. 1-7, 2022, IEEE, 979-8-3503-9858-8. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Tomoyuki Morimoto, Shunsuke Onogi, Tadahiro Ogita, Ryota Kawamata, Ziming Wang, Toshiyuki Tsutsumi |
Visualization Tool of Microprocessor Instruction for Designing New Architecture with Meta-Instructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIT ![In: 21st International Symposium on Communications and Information Technologies, ISCIT 2022, Xi'an, China, September 27-30, 2022, pp. 263-268, 2022, IEEE, 978-1-6654-9851-7. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Esteban Garita-Rodríguez, Renato Rimolo-Donadio, Rafael Zamora-Salazar |
Challenges for High Volume Testing of Embedded IO Interfaces in Disaggregated Microprocessor Products. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: IEEE International Test Conference, ITC 2022, Anaheim, CA, USA, September 23-30, 2022, pp. 456-464, 2022, IEEE, 978-1-6654-6270-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
12 | Nazli Tokatli, Isa Ahmet Güney, Sercan Sari, Merve Yildiz Güney, Ugur Nezir, Gürhan Küçük |
ShapeShifter: a morphable microprocessor for low power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Turkish J. Electr. Eng. Comput. Sci. ![In: Turkish J. Electr. Eng. Comput. Sci. 29(4), pp. 1964-1977, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
12 | Christopher L. Ayala, Tomoyuki Tanaka, Ro Saito, Mai Nozoe, Naoki Takeuchi, Nobuyuki Yoshikawa |
MANA: A Monolithic Adiabatic iNtegration Architecture Microprocessor Using 1.4-zJ/op Unshunted Superconductor Josephson Junction Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 56(4), pp. 1152-1165, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
12 | Ravi R. Iyer 0001, Vivek De, Ramesh Illikkal, David A. Koufaty, Bhushan Chitlur, Andrew Herdrich, Muhammad M. Khellah, Fatih Hamzaoglu, Eric Karl |
Advances in Microprocessor Cache Architectures Over the Last 25 Years. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 41(6), pp. 78-88, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
12 | Lizy Kurian John, Vijaykrishnan Narayanan |
Microprocessor at 50: Industry Leaders Speak. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 41(6), pp. 13-15, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
12 | Federico Faggin |
The Birth of the Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 41(6), pp. 16-19, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
12 | Murray Goldman |
Last Chance: The Motorola Microprocessor Story. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 41(6), pp. 148-149, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
12 | Dave Christie, Mike Clark, Mike Schulte 0001 |
What Made Us Stronger: An Inside Look Back at the History of AMD Microprocessor Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 41(6), pp. 29-36, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
12 | D. M. G. Preethichandra |
Z80 - The 1970s Microprocessor Still Alive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 41(6), pp. 156-157, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
|
|