The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Pat Conway, Bill Hughes The AMD Opteron™ CMP NorthBridge architecture: Now and in the future. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Gregory Buehrer, Srinivasan Parthasarathy 0001, Yen-Kuang Chen Adaptive Parallel Graph Mining for CMP Architectures. Search on Bibsonomy ICDM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yingmin Li, Benjamin C. Lee, David M. Brooks, Zhigang Hu, Kevin Skadron CMP design space exploration subject to physical constraints. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Matthew De Vuyst, Rakesh Kumar 0002, Dean M. Tullsen Exploiting unbalanced thread scheduling for energy and performance on a CMP of SMT processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18James D. Balfour, William J. Dally Design tradeoffs for tiled CMP on-chip networks. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Mario Marino Evaluating the Interconnection Latency Costs on the Performance of a CMP with Multisliced L2. Search on Bibsonomy PDPTA The full citation details ... 2006 DBLP  BibTeX  RDF
18Ihab Ismail, Khaled El-Ayat, Muhamed F. Mudawar A Locked Cache-based Synchronization Protocol for CMP. Search on Bibsonomy PDPTA The full citation details ... 2006 DBLP  BibTeX  RDF
18Anahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Suleyman Sair, Timothy Sherwood Dynamically configurable shared CMP helper engines for improved performance. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Muthukkumar S. Kadavasal, Abhijit Chandra, Sutee Eamkajornsiri, Ashraf-F. Bastawros Yield improvement via minimisation of step height non-uniformity in chemical mechanical planarisation (CMP) with pressure and velocity as control variables. Search on Bibsonomy Int. J. Manuf. Technol. Manag. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Carlisle Adams, Stephen Farrell, Tomi Kause, Tero Mononen Internet X.509 Public Key Infrastructure Certificate Management Protocol (CMP). Search on Bibsonomy RFC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18John D. Davis, James Laudon, Kunle Olukotun Maximizing CMP Throughput with Mediocre Cores. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Feng Tyan Non-uniformity of wafer and pad in CMP: kinematic aspects of view. Search on Bibsonomy ACC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Feng Tyan Pad conditioning density distribution in CMP process with diamond dresser. Search on Bibsonomy ACC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler A NUCA substrate for flexible CMP cache sharing. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache sharing, non-uniform cache architecture, chip-multiprocessor
18Chun Liu 0001, Anand Sivasubramaniam, Mahmut T. Kandemir Organizing the Last Line of Defense before Hitting the Memory Wall for CMP. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Dick de Roover, Abbas Emami-Naeini, Jon L. Ebert Model-based control for chemical-mechanical planarization (CMP). Search on Bibsonomy ACC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jingang Yi On the wafer/pad friction of linear chemical-mechanical planarization (CMP): modeling, analysis and experiments. Search on Bibsonomy ACC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Z.-C. Lin, C.-Y. Liu Analysis and application of the adaptive neuro-fuzzy inference system in prediction of CMP machining parameters. Search on Bibsonomy Int. J. Comput. Appl. Technol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ralf Gitzel, Axel Korthaus, Nima Mazloumi Handling Huge Data Sets in J2EE/EJB2.1 with a Page-by-Page Iterator Pattern Variant for CMP. Search on Bibsonomy Software Engineering Research and Practice The full citation details ... 2003 DBLP  BibTeX  RDF
18James Bohn Sever Tipei: raw cuts Compact disc, 1998; available from Computer Music Project, University of Illinois Experimental Music Studios, 1114 West Nevada, Urbana, Illinois 61801, USA; electronic mail s-tipei@uiuc.edu; World Wide Web cmp-rs.music.uiuc.edu/people/tipei/index.html. Search on Bibsonomy Comput. Music. J. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Kholdoun Torki, Bernard Courtois CMP: The Access to Advanced Low Costy Manufacturing. Search on Bibsonomy MSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Lance Hammond, Benedict A. Hubbert, Michael Siu, Manohar K. Prabhu, Michael K. Chen, Kunle Olukotun The Stanford Hydra CMP. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18George Yong Liu, Ray F. Zhang, Kelvin Hsu, Lawrence Camilletti Chip-level CMP Modeling and Smart Dummy for HDP and Conformal CVD Films Search on Bibsonomy CoRR The full citation details ... 2000 DBLP  BibTeX  RDF
18Kholdoun Torki, Bernard Courtois Advanced Low Cost Manufacturing From CMP Service. Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Kunle Olukotun, Lance Hammond, Mark Willey Improving the performance of speculatively parallel applications on the Hydra CMP. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF feedback-driven optimization, performance evaluation, parallel programming, chip multiprocessor, multithreading, data speculation
18Mark A. Fienup, Suresh C. Kothari CMP: A Memory-Constrained Scalability Metric. Search on Bibsonomy PPSC The full citation details ... 1995 DBLP  BibTeX  RDF
17Hun Jung, Miao Ju, Hao Che A Theoretical Framework for Design Space Exploration of Manycore Processors. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF CMP, multicore, design space exploration, queuing network, manycore
17Zhimin Gu, Yinxia Fu, Ninghan Zheng, Jianxun Zhang, Min Cai, Yan Huang 0011, Jie Tang 0003 Improving Performance of the Irregular Data Intensive Application with Small Computation Workload for CMPs. Search on Bibsonomy ICPP Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF CMP, Helper thread, Irregular computing
17Dawid Zydek, Grzegorz Chmaj, Henry Selvaraj Extended Analysis of Resource Assignment in Modern Chip Multiprocessors. Search on Bibsonomy ICSEng The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Load Balance, Network-on-chip, CMP, Energy, Processor Allocator
17M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, Yale N. Patt Accelerating Critical Section Execution with Asymmetric Multicore Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF heterogeneous cores, parallel programming, CMP, multicore, locks, critical sections, serialization
17Juan Fang, Hongbo Zhang Analysis and Improvement of Dynamic Multi-core Hardware Prefetch Technology Based on Pre-execution. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core prefetch, pre-execution based prefetching, coherency of cache, CMP, multicore architecture
17Dawid Zydek, Henry Selvaraj, Laxmi P. Gewali Synthesis of Processor Allocator for Torus-Based Chip MultiProcessors. Search on Bibsonomy ITNG The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, CMP, mesh, NoC, torus, hardware implementation, processor allocator
17Andreas Merkel, Jan Stoess, Frank Bellosa Resource-conscious scheduling for energy efficiency on multicore processors. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF activity vectors, task characterization, virtualization, CMP, migration, resources, frequency scaling, energy-aware scheduling
17M. Aater Suleman, Onur Mutlu, José A. Joao, Khubaib, Yale N. Patt Data marshaling for multi-core architectures. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF staged execution, pipelining, cmp, critical sections
17Jingtong Hu, Chun Jason Xue, Wei-Che Tseng, Yi He 0001, Meikang Qiu, Edwin Hsing-Mean Sha Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data recomputation, CMP, flash memory, data migration, phase change memory, SPM, non-volatile memory
17Xiang Zhang, Ahmed Louri A multilayer nanophotonic interconnection network for on-chip many-core communications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, CMP, 3D, silicon photonics
17Oscar Mateo Lozano, Kazuhiro Otsuka Real-time Visual Tracker by Stream Processing. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Real-time systems, CMP, Particle filtering, GPGPU, Stream processing, Video tracking
17Vladimir Cakarevic, Petar Radojkovic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Characterizing the resource-sharing levels in the UltraSPARC T2 processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun Nigara T2, CMP, job scheduling, simultaneous multithreading, performance characterization, CMT
17Guanjun Jiang, Degui Feng, Liangliang Tong, Lingxiang Xiang, Chao Wang 0058, Tianzhou Chen L1 Collective Cache: Managing Shared Data for Chip Multiprocessors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMP, cache design, L1 cache
17Susmit Biswas, Diana Franklin, Timothy Sherwood, Frederic T. Chong Conflict-Avoidance in Multicore Caching for Data-Similar Executions. Search on Bibsonomy ISPAN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Data Similar Execution, CMP, Cache Design
17Susmit Biswas, Diana Franklin, Alan Savage, Ryan Dixon, Timothy Sherwood, Frederic T. Chong Multi-execution: multicore caching for data-similar executions. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data similar execution, multicore cache design, cmp
17Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism
17Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Reactive NUCA: near-optimal block placement and replication in distributed caches. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF block migration, block placement, block replication, cache indexing, cache lookup, non-uniform cache access, nuca, r-nuca, reactive nuca, rotational interleaving, cache, replication, chip multiprocessor, cmp, placement, multicore, multi-core, migration, cache coherence, data replication, coherence, interleaving, data migration, data placement, shared cache, cache management, lookup, last-level cache, private cache
17Mahmut T. Kandemir, Ozcan Ozturk 0001, Sai Prashanth Muralidhara Dynamic thread and data mapping for NoC based CMPs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mapping, dynamic, CMP, thread, NoC, data
17Noel Eisley, Li-Shiuan Peh, Li Shang Leveraging on-chip networks for data cache migration in chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network-driven computing, interconnection network, CMP, chip-multiprocessor, migration
17Jessica Young, Srihari Makineni, Ravishankar R. Iyer 0001, Donald Newell, Adrian Moga To Snoop or Not to Snoop: Evaluation of Fine-Grain and Coarse-Grain Snoop Filtering Techniques. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache regions, snoop filtering, coarse-grain tracking, fine-grain tracking, CMP
17Mahmut T. Kandemir, Ozcan Ozturk 0001 Software-directed combined cpu/link voltage scaling fornoc-based cmps. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler, CMP, NoC, voltage scaling, cpu, communication link
17Gregory Buehrer, Srinivasan Parthasarathy 0001, Matthew Goyder Data mining on the cell broadband engine. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cell bdea, mutlicore, CMP
17Simon W. Moore, Daniel Greenfield The next resource war: computation vs. communication. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fractal structure, temporal interconnect, tera-scale, networks-on-chip, CMP, communication complexity, Rent's rule
17David Tarjan, Michael Boyer, Kevin Skadron Federation: repurposing scalar cores for out-of-order instruction issue. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP, multicore, federation, out-of-order
17Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh DFM in practice: hit or hype? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical area analysis, CMP, yield, DFM, OPC, lithography
17Hua Xiang 0001, Liang Deng, Ruchir Puri, Kai-Yuan Chao, Martin D. F. Wong Dummy fill density analysis with coupling constraints. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMP, coupling, dummy fills
17Josef Weidendorfer, Michael Ott 0001, Tobias Klug, Carsten Trinitis Latencies of Conflicting Writes on Contemporary Multicore Architectures. Search on Bibsonomy PaCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cache, CMP, Multicore, False Sharing
17Anahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Timothy Sherwood, Suleyman Sair Improving the performance and power efficiency of shared helpers in CMPs. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF constructive sharing, factored core, flexible sharing, helper configuration, helper engine, sharing policy, CMP, phase
17Jianfeng Luo, Subarna Sinha, Qing Su, Jamil Kawa, Charles C. Chiang An IC manufacturing yield model considering intra-die variations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF random variation, systematic variation, CMP, spatial correlation, manufacturing yield
17Shailender Chaudhry, Paul Caprioli, Sherman Yip, Marc Tremblay High-Performance Throughput Computing. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware scout, CMP, multithreading, multicore, microprocessor, CMT
17Rakesh Kumar 0002, Dean M. Tullsen, Norman P. Jouppi, Parthasarathy Ranganathan Heterogeneous Chip Multiprocessors. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multicore microprocessors, Multiprocessors, Chip multiprocessors, CMP, Heterogeneity, System architectures, Power-aware computing
17Thomas Y. Yeh, Glenn Reinman Fast and fair: data-stream quality of service. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NUCA, non-uniform access, per thread degradation, cluster, adaptive, cache, distributed, data-stream, partition, embedded, CMP, chip multiprocessor, migration, bandwidth, QOS, phase, memory wall, PDAS
17Carmelo Acosta, Ayose Falcón, Alex Ramírez, Mateo Valero A Complexity-Effective Simultaneous Multithreading Architecture. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Complexity-Effective, Heterogeneity-Awareness, Mapping Policies, Clustering, CMP, SMT
17Fernando Latorre, José González 0002, Antonio González 0001 Back-end assignment schemes for clustered multithreaded processors. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clustered, CMP, multithreaded, steering
17Satoshi Matsushita Design Experience of a Chip Multiprocessor Merlot and Expectation to Functional Verification. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
17Mitsuhisa Sato OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-Chip Multiprocessors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
17Magnus Ekman, Per Stenström, Fredrik Dahlgren TLB and snoop energy-reduction using virtual caches in low-power chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF virtual caches, low-power, CMP, snoop
17Norio Kuji, Takako Ishihara EB-Testing-Pad Method and Its Evaluation by Actual Devices. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF E-beam tester, stacked vias, testing pads, multi level wiring, CMp, SIMOX/CMOS technology, observability
16Yunlian Jiang, Kai Tian, Xipeng Shen, Jinghe Zhang, Jie Chen 0010, Rahul Tripathi The Complexity of Optimal Job Co-Scheduling on Chip Multiprocessors and Heuristics-Based Solutions. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF CMP scheduling, cache contention, integer programming, perfect matching, shared cache, Co-scheduling
16Omer Khan, Sandip Kundu Hardware/Software Codesign Architecture for Online Testing in Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF hard error detection, isolation and tolerance, Chip Multiprocessor (CMP), hardware/software codesign
16Taecheol Oh, Kiyeon Lee, Sangyeun Cho An Analytical Performance Model for Co-management of Last-Level Cache and Bandwidth Sharing. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF simulation, performance modeling, Chip multiprocessor (CMP), resource sharing
16Omer Khan, Sandip Kundu Thread Relocation: A Runtime Architecture for Tolerating Hard Errors in Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hard-error tolerance, virtualization, Chip multiprocessor (CMP), hardware/software codesign, hypervisor
16Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 A Direct Coherence Protocol for Many-Core Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Many-core CMP, direct coherence, indirection problem, on-chip network traffic, cache coherence protocol
16Rong Ge 0002, Xizhou Feng, Shuaiwen Song, Hung-Ching Chang, Dong Li 0001, Kirk W. Cameron PowerPack: Energy Profiling and Analysis of High-Performance Systems and Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMP-based cluster, system tools, Distributed system, energy efficiency, power management, dynamic voltage and frequency scaling, power measurement
16Asit K. Mishra, Shekhar Srikantaiah, Mahmut T. Kandemir, Chita R. Das Coordinated power management of voltage islands in CMPs. Search on Bibsonomy SIGMETRICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chip multiprocessors (CMP), control theory, GALs, DVFs
16Miquel Moretó, Francisco J. Cazorla, Rizos Sakellariou, Mateo Valero Load balancing using dynamic cache allocation. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmp architectures, load balancing, cache partitioning
16Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John The virtual write queue: coordinating DRAM and last-level cache policies. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache
16Xi Zhang 0008, Dongsheng Wang 0002, Yibo Xue, Haixia Wang 0001, Jinglei Wang A Novel Cache Organization for Tiled Chip Multiprocessor. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-level Directory, Chip Multiprocessor(CMP), Cache Organization, Tiled Architecture
16Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem Dynamic cache clustering for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-uniform cache architecture (nuca), chip multiprocessor (cmp)
16Kai Tian, Yunlian Jiang, Xipeng Shen A study on optimally co-scheduling jobs of different lengths on chip multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache contention, cmp scheduling, perfect matching, a*-search, co-scheduling
16Yunlian Jiang, Xipeng Shen, Jie Chen 0010, Rahul Tripathi Analysis and approximation of optimal co-scheduling on chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP scheduling, cache contention, perfect matching, co-scheduling
16Lars Arge, Michael T. Goodrich, Michael J. Nelson 0002, Nodari Sitchinava Fundamental parallel algorithms for private-cache chip multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel external memory, pem, private-cache cmp
16Håkan Zeffer, Zoran Radovic, Martin Karlsson, Erik Hagersten TMA: a trap-based memory architecture. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed shared memory (DSM), low complexity server design, node coherence checks, server design, simultaneous multi-threading (SMT), software coherence, trap-based memory architecture (TMA), chip multi processor (CMP)
16Liping Xue, Mahmut T. Kandemir, Guangyu Chen, Taylan Yemliha SPM Conscious Loop Scheduling for Embedded Chip Multiprocessors. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SPM (Scratch-Pad Memory), dynamic loop scheduling, parallelization, compiler, CMP (chip multiprocessor), data locality
16Lukasz Strozek, David M. Brooks Efficient architectures through application clustering and architectural heterogeneity. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF efficient custom architectures, heterogeneous CMP
16Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
16G. Edward Suh, Larry Rudolph, Srinivas Devadas Dynamic Partitioning of Shared Cache Memory. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP and SMT, shared caches, cache partitioning
9Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 Speculative parallelization using state separation and multiple value prediction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore processors, speculative parallelization
9Lixin Zhang 0002, Evan Speight, Ramakrishnan Rajamony, Jiang Lin Enigma: architectural and operating system support for reducing the impact of address translation. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
9Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
9Petar Radojkovic, Vladimir Cakarevic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Thread to strand binding of parallel network applications in massive multi-threaded systems. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultrasparc t2, simultaneous multithreading, process scheduling, cmt
9Aamer Jaleel, Kevin B. Theobald, Simon C. Steely Jr., Joel S. Emer High performance cache replacement using re-reference interval prediction (RRIP). Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scan resistance, thrashing, shared cache, replacement
9Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das Aérgia: exploiting packet latency slack in on-chip networks. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks
9Anastasia Ailamaki Database systems in the multicore era. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF deep memory hierarchies, multithreaded storage management, multicore systems
9Eiman Ebrahimi, Chang Joo Lee, Onur Mutlu, Yale N. Patt Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fairness, shared memory systems, system performance, multi-core systems
9Evangelos Vlachos, Michelle L. Goodstein, Michael A. Kozuch, Shimin Chen, Babak Falsafi, Phillip B. Gibbons, Todd C. Mowry ParaLog: enabling and accelerating online parallel monitoring of multithreaded applications. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hardware support for debugging, instruction-grain lifeguards, online parallel monitoring
9Kshitij Sudan, Niladrish Chatterjee, David W. Nellans, Manu Awasthi, Rajeev Balasubramonian, Al Davis Micro-pages: increasing DRAM efficiency with locality-aware data placement. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dram row-buffer management, data placement
9Dimitris Tsirogiannis, Nick Koudas Suffix tree construction algorithms on modern hardware. Search on Bibsonomy EDBT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core, suffix tree
9Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
9Yudong Zhang 0001, Lenan Wu Segment-based coding of color images. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF color image coding, lifting scheme wavelet, structure coding, image segmentation, pulse-coupled neural networks
9Sudipto Das, Shyam Antony, Divyakant Agrawal, Amr El Abbadi CoTS: A Scalable Framework for Parallelizing Frequency Counting over Data Streams. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Mark Horowitz Why design must change: rethinking digital design. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N. Patt Improving memory bank-level parallelism in the presence of prefetching. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das Application-aware prioritization mechanisms for on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks
Displaying result #501 - #600 of 792 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license