The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SBCCI"( http://dblp.L3S.de/Venues/SBCCI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/sbcci

Publication years (Num. hits)
1998 (54) 1999-2000 (64) 2001 (38) 2002 (62) 2003 (59) 2004 (58) 2005 (51) 2006 (48) 2007 (73) 2008 (51) 2009 (55) 2010 (41) 2011 (43) 2012 (36) 2013 (43) 2014 (44) 2015 (44) 2016 (41) 2017 (37) 2018 (46) 2019 (35) 2020 (41) 2022 (48) 2023 (39)
Publication types (Num. hits)
inproceedings(1126) proceedings(25)
Venues (Conferences, Journals, ...)
SBCCI(1151)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 843 occurrences of 474 keywords

Results
Found 1151 publication records. Showing 1151 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Gabriel de M. Borges, Luiz Fernando Gonçalves, Tiago R. Balen, Marcelo Lubaszewski Evaluating the effectiveness of a mixed-signal TMR scheme based on design diversity. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Deepak Kumar, Pankaj Kumar, Manisha Pattanaik Performance analysis of dynamic threshold MOS (DTMOS) based 4-input multiplexer switch for low power and high speed FPGA design. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marcel Moscarelli Corrêa, Mateus Thurow Schoenknecht, Luciano Volcan Agostini A high performance hardware architecture for the H.264/AVC half-pixel motion estimation refinement. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vojin G. Oklobdzija Computing at the ultimate low-energy limits. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sobeeh Almukhaizim, Mohammad Gh. Mohammad, Mohammad Khajah Low-power test in compression-based reconfigurable scan architectures. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir Design for reality: knowledge discovery in design and test data. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Francisco de Assis Brito Filho, Fernando Rangel de Sousa Wideband ring VCO for cognitive radio five-port receiver. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chenjie Yu, Peter Petrov Adaptive multi-threading for dynamic workloads in embedded multiprocessors. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Leonardo Londero de Oliveira, João Baptista dos Santos Martins, Gustavo Fernando Dessbesell, José Monteiro 0001 CentroidM: a centroid-based localization algorithm for mobile sensor networks. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Gabriel Luca Nazar, Christina Gimmler, Norbert Wehn Implementation comparisons of the QR decomposition for MIMO detection. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Gabriel Marchesan Almeida, Sameer Varyani, Rémi Busseuil, Gilles Sassatelli, Pascal Benoit, Lionel Torres, Everton Carara, Fernando Gehm Moraes Evaluating the impact of task migration in multi-processor systems-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Cláudio Machado Diniz, João S. Altermann, Eduardo A. C. da Costa, Sergio Bampi Performance enhancement of H.264/AVC intra frame prediction hardware using efficient 4-2 and 5-2 adder-compressors. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrea Calimera, Enrico Macii, Danilo Ravotto, Ernesto Sánchez 0001, Matteo Sonza Reorda Generating power-hungry test programs for power-aware validation of pipelined processors. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daniel Gomes Mesquita, Guilherme Perin, Fernando Luís Herrmann, João Baptista dos Santos Martins An efficient implementation of montgomery powering ladder in reconfigurable hardware. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bertrand Le Gal, Aurélien Ribon, Lilian Bossuet, Dominique Dallet Reducing and smoothing power consumption of ROM-based controller implementations. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Antonio Lopes Filho, Roberto d'Amore A low complexity image compression solution for onboard space applications. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Stanley S. K. Ho, Carlos E. Saavedra A 5.4 GHz fully-integrated low-noise mixer. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fadi J. Kurdahi Designing working systems with imperfect chips. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dalton Martini Colombo, Gilson Inácio Wirth, Christian Jesús B. Fayomi Design methodology using inversion coefficient for low-voltage low-power CMOS voltage reference. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Guillermo Costa, Alfredo Arnaud, Matías R. Miguez A precision autozero amplifier for EEG signals. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1André Luís Fortunato, Carlos Alberto dos Reis Filho A -60dB THD/100MHz true unity-gain voltage buffer CMOS circuit. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dirk Koch, Christian Beckhoff, Jim Tørresen Zero logic overhead integration of partially reconfigurable modules. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Felipe S. Marques 0001, Osvaldo Martinello, Renato P. Ribas, André Inácio Reis Improvements on the detection of false paths by using unateness and satisfiability. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Robson Dornelles, Felipe Sampaio, Luciano Volcan Agostini Variable block size motion estimation architecture with a fast bottom-up decision mode and an integrated motion compensation targeting the H.264/AVC video coding standard. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vinicius Callegaro, Felipe de Souza Marques, Carlos Eduardo Klock, Leomar Soares da Rosa Jr., Renato P. Ribas, André Inácio Reis SwitchCraft: a framework for transistor network design. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bruno Cruz de Oliveira, Márcio Eduardo Kreutz, Edgard de Faria Corrêa, Ivan Saraiva Silva Exploring memory organization in virtual MP-SoC platforms. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Leonardo Medeiros, Antonio Carlos Cavalcanti An MPEG-2 transport stream demultiplexer IP corecompliant with SBTVD. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Antonio Colaci, Gianluigi Boarin, Andrea Roggero, Lorenzo Civardi, Carlo Roma, Andreas Ripp, Michael Pronath, Gunter Strube Systematic analysis & optimization of analog/mixed-signal circuits balancing accuracy and design time. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Leonardo Kunz, Gustavo Girão, Flávio Rech Wagner Evaluation of a hardware transactional memory model in an NoC-based embedded MPSoC. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rafael Soares, Ney Laert Vilar Calazans, Victor Lomné, Amine Dehbaoui, Philippe Maurine, Lionel Torres A GALS pipeline DES architecture to increase robustness against DPA and DEMA attacks. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Leonel Tedesco, Thiago R. da Rosa, Fabien Clermidy, Ney Calazans, Fernando Gehm Moraes Implementation and evaluation of a congestion aware routing algorithm for networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Marius Strum, Jiang Chau Wang, Ricardo Pires The LRD traffic impact on the NoC-based SoCs. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jorge Johanny Sáenz Noval, Elkim Felipe Roa Fuentes, Armando Ayala Pabón, Wilhelmus A. M. Van Noije A methodology to improve yield in analog circuits by using geometric programming. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Angelo G. da Luz, Eduardo A. C. da Costa, Marilton S. de Aguiar Ordering and partitioning of coefficients based on heuristic algorithms for low power FIR filter realization. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bernardo C. Vieira, Fabrício Vivas Andrade, Antônio Otávio Fernandes A modular CNF-based SAT solver. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Maurício Banaszeski da Silva, Gilson I. Wirth Modeling the impact of RTS on the reliability of ring oscillators. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1João Antonio Martino, Guido Araujo, Alex Orailoglu, Felipe Klein (eds.) Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2010, São Paulo, Brazil, September 6-9, 2010 Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  BibTeX  RDF
1Alexsandro Cristovão Bonatto, André Borin Soares, Adriano Renner, Altamiro Amadeu Susin, Leandro Max Silva, Sergio Bampi A 720p H.264/AVC decoder ASIC implementation for digital television set-top boxes. Search on Bibsonomy SBCCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1André Silva, Eduardo A. C. da Costa, Sérgio J. M. de Almeida, Marcelo Schiavon Porto, Sergio Bampi High performance motion estimation architecture using efficient adder-compressors. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adder-compressor, motion estimation, fast algorithm
1André Mansano, Andre Vilas Boas, Alfredo Olmos, Jefferson Soldera Zero quiescent current startup circuit with automatic turning-off for low power current and voltage reference. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power-up, starter, initialization, start-up, current reference
1M. A. Ochoa-Montiel, Bashir M. Al-Hashimi, Peter Kollig Design of a low power MPEG-1 motion vector reconstructor. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF behavioural synthesis, low power
1Emilio Wuerges, Luiz C. V. dos Santos, Olinto J. V. Furtado, Sandro Rigo An early real-time checker for retargetable compile-time analysis. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compile-time WCET analysis, time-constraint feasibility analysis
1Sergio Chaparro, Armando Ayala Pabón, Elkim Roa, Wilhelmus A. M. Van Noije A merged RF CMOS LNA-Mixer design using geometric programming. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LNA-Mixer, RF-CMOS inductors, optimization, analog circuits, geometric programming
1Marcio F. da S. Oliveira, Ronaldo Rodrigues Ferreira, Francisco Assis Moreira do Nascimento, Franz J. Rammig, Flávio Rech Wagner Exploiting the model-driven engineering approach to improve design space exploration of embedded systems. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF embedded systems, model-driven engineering, design space exploration
1Diego F. Sánchez, Daniel M. Muñoz, Carlos H. Llanos, Mauricio Ayala-Rincón Parameterizable floating-point library for arithmetic operations in FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF goldschmidt, FPGA, computer arithmetic, floating-point
1Cédric Majek, Yann Deval, Hervé Lapuyade, Jean-Baptiste Bégueret Voltage controlled delay line with phase quadrature outputs for [0.9-4] GHz F-DLL dedicated to zero-IF multi-standard LO. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS-SOI, factorial delay locked loop, multi-standard frequency synthesizer, quadrature phase signals, voltage controlled delay element
1Frank Sill, Davies W. de Lima Monteiro Pipelined successive approximation conversion (PSAC) with error correction for a CMOS ophthalmic sensor. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pipelined SAC, error correction, ADC
1Gustavo Neuberger, Gilson I. Wirth, Ricardo Reis 0001 Protecting digital circuits against hold time violation due to process variability. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flip-flop characterization, hold time violations, race immunity, clock skew, process variability
1André Inácio Reis, Roner G. Fabris What about the IP of your IP?: an introduction to intellectual property law for engineers and scientists. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF EPO, INPI, PCT, USPTO, intellectual property law, patent agent, patent reading, patent strategy, patent writing
1Luciano Ost, Guilherme Montez Guindani, Leandro Soares Indrusiak, Cezar Reinbrecht, Thiago Raupp da Rosa, Fernando Moraes 0001 A high abstraction, high accuracy power estimation model for networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high abstraction modeling, networks-on-chip, power modeling
1Juan José Carrillo, Elkim Roa, José Vieira, Wilhelmus A. M. Van Noije A low-voltage bandgap reference source based on the current-mode technique. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS voltage reference, temperature coefficient, analog circuits, low voltage
1Mario Alfredo Reyes-Barranca, Salvador Mendoza-Acevedo, Alejandro Ávila-García, José Luis González-Vidal, Luis M. Flores-Nava Floating gate MOSFET circuit design for a monolithic MEMS GAS sensor. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FGMOS, gas sensor, MEMS
1Michel M. Maharbiz A cyborg beetle: wireless neural flight control of a free-flying insect. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cyborg insect, insect flight, MEMS, microsystems, bioMEMS
1Chenjie Yu, Xiangrong Zhou, Peter Petrov Low-power inter-core communication through cache partitioning in embedded multiprocessors. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power cache architectures, low-power cache coherence, MPSoC, on-chip communication
1Mohamad Sawan, Benoit Gosselin Multichannel intracortical neurorecording: integration and packaging challenges. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bioelectronics, implantable devices, biosensors, wireless links
1George Sobral Silveira, Alisson Vasconcelos de Brito, Elmar U. K. Melcher Functional verification of power gate design in SystemC RTL. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, SystemC, RTL, functional verification, power gate
1Danilo Ravotto, Edgar E. Sánchez, Matteo Sonza Reorda, Giovanni Squillero Design validation of multithreaded architectures using concurrent threads evolution. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation based techniques, functional validation
1Sílvio R. F. de Fernandes, Bruno Cruz de Oliveira, Ivan Saraiva Silva Using NoC routers as processing elements. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC, system-on-chip, network-on-chip, routing algorithm, NoC, MP-SoC
1Viviane Lucy Santos de Souza, Victor Wanderley Costa de Medeiros, Manoel Eusébio de Lima Architecture for dense matrix multiplication on a high-performance reconfigurable system. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BRAMs (RAM blocks), MAC (multiplier unit), RASC (reconfigurable application-specific computing), performance, FPGA (field programmable gate array), parallelism, matrix multiplication, data reuse
1Costas Argyrides, Giorgos Dimosthenous, Dhiraj K. Pradhan, Carlos Arthur Lang Lisbôa, Luigi Carro Reliability aware yield improvement technique for nanotechnology based circuits. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, nanotechnology, yield improvement
1Francisco Assis Moreira do Nascimento, Marcio F. da S. Oliveira, Flávio Rech Wagner Using MDE for the formal verification of embedded systems modeled by UML sequence diagrams. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF embedded systems, formal verification, model driven engineering
1Daniel Schmidt 0001, Norbert Wehn DRAM power management and energy consumption: a critical assessment. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modelling, measurement, power management, SDRAM
1Laurent Leyssenne, Eric Kerherve, Yann Deval, Didier Belot A novel delta sigma built-in-current-sensor as a signal strength indicator for RF transceiver reconfiguration. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RF power amplifier, efficiency maximization, WLAN, built-in current sensor, delta-sigma modulation
1Luiz Fernando Gonçalves, Jefferson Luiz Bosa, Renato V. B. Henriques, Marcelo Lubaszewski Design of an embedded system for the proactive maintenance of electrical valves. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF electromechanical systems, novel applications of FPGAs, testability issues, embedded systems
1Marcelo Daniel Berejuck, César Albenes Zeferino Adding mechanisms for QoS to a network-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, systems-on-chip, networks-on-chip
1Ivan Saraiva Silva, Renato P. Ribas, Calvin Plett (eds.) Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009 Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  BibTeX  RDF
1Jader A. De Lima A compact low-distortion low-power instrumentation amplifier. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF class-AB output stage, double-port amplifier, instrumentation amplifier
1Caroline Concatto, Debora Matos, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin, Érika F. Cota, Márcio Eduardo Kreutz Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable router, fault tolerance, reliability, network-on-chip, NoC
1Luciano A. de Lacerda, Edson P. Santana, Cleber Vinícius A. de Almeida, Ana Isabela Araújo Cunha Distortion analysis of analog multiplier circuits using two-dimensional integral nonlinear function. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS multipliers, distortion, analog multipliers
1Calvin Plett, Robson Nunes de Lima Low-power CMOS transceivers with on-chip antennas for short-range radio-frequency communication. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS transceiver, injection locked, integrated antenna, medical sensor readout, PLL
1Antonio Felipe de Freitas Silva, Fernando Rangel de Sousa Highly improved IIP2 direct conversion receiver. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RF front-end GKeven order non-linearity, intermodulation distortion, cognitive radio
1Leonel Tedesco, Fabien Clermidy, Fernando Moraes 0001 A path-load based adaptive routing algorithm for networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF quality of service, networks on chip, dynamic routing, traffic monitoring
1Oliver Sander, Christoph Roth, Vitali Stuckert, Jürgen Becker 0001 System concept for an FPGA based real-time capable automotive ECU simulation system. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, FPGA, real-time, automotive
1Carlos Ivan Castro Marquez, Marius Strum, Jiang Chau Wang A PD-based methodology to enhance efficiency in testbenches with random stimulation. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parameter domains, system-on-chip, design methodologies, functional verification, coverage analysis
1Levent Aksoy, Diego Jaccottet, Eduardo Costa 0001 Design of low complexity digital FIR filters. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-level synthesis, multiple constant multiplications, multiplierless filter design, high-level synthesis, array multipliers
1Luiz Carlos Moreira, Wilhelmus A. M. Van Noije, Armando Ayala Pabón, Andrés Farfán-Peláez Comparison of small cross inductors and rectangular inductors designed in 0.35um CMOS technology. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cross inductors, rectangular inductors, RF CMOS
1Felipe Klein, Alexandro Baldassin, Guido Araujo, Paulo Centoducatte, Rodolfo Azevedo On the energy-efficiency of software transactional memory. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power design, transactional memory, multi-core, MPSoC
1Genival Mariano de Araujo, Heider Marconi G. Madureira, José Camargo da Costa Design and characterization of a 0.35 micron CMOS voltage-to-current converter. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage-to-current converter, system on chip, current reference
1Raju Halder, Parthasarathi Dasgupta, Saptarshi Naskar, Samar Sen-Sarma An internet-based IP protection scheme for circuit designs using linear feedback shift register (LFSR)-based locking. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intellectual property protection (IPP), watermarking, encryption, decryption
1Igor Dantas dos Santos Miranda, Ana Isabela Araújo Cunha ASIC design of a novel high performance neuroprocessor architecture for multi layered perceptron networks. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neural network arithmetic, neuroprocessor, ASIC
1Robson Dornelles, Felipe Sampaio, Daniel Palomino 0001, Luciano Volcan Agostini Transforms and quantization design targeting the H.264/AVC intra prediction constraints. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF IQ modules, Q, T, IT, video coding, high performance, H.264/AVC, VLSI design, low latency, intra-prediction
1Rafaella Fiorelli, Fernando Silveira, Eduardo J. Peralías Phase noise - consumption trade-off in low power RF-LC-VCO design in micro and nanometric technologies. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design methodology, power consumption, VCO, radio-frequency
1Thaísa Leal da Silva, Fábio I. Pereira, Altamiro Amadeu Susin, Sergio Bampi, Luciano Volcan Agostini High performance and low cost architecture for H.264/AVC CAVLD targeting HDTV. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CAVLD, H.264/AVC, video compression, hardware architectures
1André Mansano, Jader A. De Lima, Jacobus W. Swart A compact fast-response charge-pump gate driver. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate driver, switched-capacitor converters, charge-pump
1Md Jasim Uddin, Muhammad I. Ibrahimy, Muhammad A. Hasan, Mohd. Alauddin Mohd. Ali, Mamun Bin Ibne Reaz CMOS 2.45GHz RF power amplifier for RFID reader. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power splitter, transponder, RFID
1Érika F. Cota, Luigi Carro, Felipe Pinto, Ricardo Augusto da Luz Reis, Marcelo Lubaszewski Resource-and-time-aware test strategy for configurable quaternary logic blocks. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF quaternary logic, test generation, FPGA testing
1Wimol San-Um, Masayoshi Tachibana Simultaneous impulse stimulation and response sampling technique for built-in self test of linear analog integrated circuits. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF impulse stimulation, linear analog integrated circuits, response sampling technique, built-in self test
1Abel G. Silva-Filho, Cristiano C. de Araújo A methodology for tuning two-level cache hierarchy considering energy and performance. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF exploration mechanism, two-level caches, embedded systems, system-on-chip, low power design, memory hierarchy
1Diogo José Costa Alves, Edna Barros A logic built-in self-test architecture that reuses manufacturing compressed scan test patterns. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LBIST, compressed test patterns, test, SoC, self-test
1Juan Fernando Eusse Giraldo, Michael Hübner 0001, Ricardo Pezzuol Jacobi BRICK: a multi-context expression grained reconfigurable architecture. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF validation, reconfigurable computing, MIMO, SystemC, co-simulation, coarse grain
1Matías R. Miguez, Alfredo Arnaud, Joel Gak A self-protected integrated switch in a HV technology. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design, drivers
1Hagen Sämrow, Claas Cornelius, Frank Sill, Andreas Tockhorn, Dirk Timmermann Twin logic gates: improved logic reliability by redundancy concerning gate oxide breakdown. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate oxide breakdown, reliability, integrated circuit design, redundant systems
1Valerij Matrose, Carsten Gremzow Improved placement for hierarchical FPGAs exploiting local interconnect resources. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, interconnect, placement
1Abner Corrêa Barros, Victor Wanderley Costa de Medeiros, Viviane Lucy Santos de Souza, Paulo Sérgio Brandão do Nascimento, Ângelo Mazer, João Paulo Fernandes Barbosa, Bruno P. Neves, Ismael Santos 0001, Manoel Eusébio de Lima Implementation of a double-precision multiplier accumulator with exception treatment to a dense matrix multiplier module in FPGA. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, scientific computing, floating-point, HPC
1Pablo Aguirre, Fernando Silveira CMOS op-amp power optimization in all regions of inversion using geometric programming. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, CMOS, analog, geometric programming, automatic design
1Mahmoud Ben Naser, Csaba Andras Moritz Power and performance tradeoffs with process variation resilient adaptive cache architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variations, leakage power, adaptive cache
1Jader A. De Lima, Wallace A. Pimenta A current limiter for DC/DC regulators with internal compensation for process and temperature. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DC/DC converter, LDO, PVT compensation, current limitation, switcher
1Hugo de Lemos Haas, José Gabriel Rodríguez Carneiro Gomes, Antonio Petraglia Analog hardware implementation of a vector quantizer for focal-plane image compression. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS analog hardware, analog image processing, vector quantization
1Fernando Gehm Moraes, Everton Carara, Daniel V. Pigatto, Ney Laert Vilar Calazans MOTIM: an industrial application using nocs. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ethernet switch, FPGA, prototyping, networks on chip
1Eduardo Ribeiro da Silva, Ivan Carlos Ribeiro do Nascimento, Frank Herman Behrens, Marcos Mauricio Pelicia, Remerson Stein Kickhofel, Ricardo Maltione Power management techniques for very low consumption and EMI reduction in automotive applications. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CAN transceiver, low power, automotive, EMI
Displaying result #501 - #600 of 1151 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license