The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase flip-flops (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1976 (18) 1977-1988 (16) 1989-1993 (17) 1994-1995 (49) 1996 (24) 1997 (21) 1998 (42) 1999 (28) 2000 (24) 2001 (25) 2002 (24) 2003 (35) 2004 (38) 2005 (44) 2006 (44) 2007 (53) 2008 (58) 2009 (27) 2010 (25) 2011 (23) 2012 (19) 2013 (21) 2014 (16) 2015 (20) 2016-2017 (31) 2018-2019 (28) 2020-2021 (26) 2022-2023 (20) 2024 (7)
Publication types (Num. hits)
article(279) data(1) inproceedings(542) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 825 occurrences of 369 keywords

Results
Found 823 publication records. Showing 823 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Md. Ashfaquzzaman Khan, Naoto Miyamoto, Takeshi Ohkawa, Amir Jamak, Soichiro Kita, Koji Kotani, Tadahiro Ohmi An approach to realize time-sharing of flip-flops in time-multiplexed FPGAs. Search on Bibsonomy FPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Data-retention flip-flops for power-down applications. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
30Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Dual-edge triggered level converting flip-flops. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
30Peiyi Zhao, Pradeep Kumar Golconda, Magdy A. Bayoumi Contention reduced/conditional discharge flip-flops for level conversion in CVS systems. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
30Cecilia Metra, Stefano Di Francescantonio, Michele Favalli, Bruno Riccò Scan flip-flops with on-line testing ability with respect to input delay and crosstalk faults. Search on Bibsonomy Microelectron. J. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Ali Iranli, Peyman Rezvani, Massoud Pedram Low power synthesis of finite state machines with mixed D and T flip-flops. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Peiyi Zhao, Tarek Darwish, Magdy A. Bayoumi Low Power Conditional-Discharge Pulsed Flip-Flops. Search on Bibsonomy Embedded Systems and Applications The full citation details ... 2003 DBLP  BibTeX  RDF
30Robert Bai, Dennis Sylvester Analysis and design of level-converting flip-flops for dual-Vdd/Vth integrated circuits. Search on Bibsonomy SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Shang Xue, Bengt Oelmann Comparative study of low-voltage performance of standard-cell flip-flops. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Nikola Nedovic, Marko Aleksic, Vojin G. Oklobdzija Conditional techniques for low power consumption flip-flops. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Nikola Nedovic, Marko Aleksic, Vojin G. Oklobdzija Timing Characterization of Dual-edge Triggered Flip-flops. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Ki-Hyuk Sung, Lee-Sup Kim Comments on "New dynamic flip-flops for high-speed dual-modulus prescaler". Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Jinn-Shyan Wang, Po-Hui Yang, Duo Sheng Design of a 3-V 300-MHz low-power 8-b×8-b pipelined multiplier using pulse-triggered TSPC flip-flops. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Shin-ichi Yoshida, Yasufumi Takama, Kaoru Hirota Fuzzy Flip-Flops and their Applications to Fuzzy Memory Element and Circuit Design using FPGA. Search on Bibsonomy J. Adv. Comput. Intell. Intell. Informatics The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Yoichiro Sato, Yoshinobu Yamasoto, Masanori Saito, Hiroto Kagotani, Takuji Okamoto, Masahiro Kawai Systematic reducing of metastable operations in CMOS D flip-flops. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Tomasz Garbolino, Andrzej Hlawiczka, Adam Kristof Fast and low-area TPGs based on T-type flip-flops can be easily integrated to the scan path. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Po-Hui Yang, Jinn-Shyan Wang, Yi-Ming Wang A 1-GHz low-power transposition memory using new pulse-clocked D flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara, Atsushi Murakami, Sadami Takeoka, Mitsuyasu Ohta On validating data hold times for flip-flops in sequential circuits. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Fabian Klass, Chaim Amir, Ashutosh Das, Kathirgamar Aingaran, Cindy Truong, Richard Wang, Anup Mehta, Raymond A. Heald, Gin Yee A new family of semidynamic and dynamic flip-flops with embedded logic for high-performance processors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Vladimir Stojanovic, Vojin G. Oklobdzija Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Marie-Lise Flottes, Christian Landrault, A. Petitqueux Partial set for flip-flops based on state requirement for non-scan BIST scheme. Search on Bibsonomy ETW The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Antonio G. M. Strollo, Carlo Cimino, Ettore Napoli Power dissipation in one-latch and two-latch double edge triggered flip-flops. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Ching-Yuan Yang, Guang-Kaai Dehng, June-Ming Hsu, Shen-Iuan Liu New dynamic flip-flops for high-speed dual-modulus prescaler. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Leslaw Gniewek, Jacek Kluska Family of fuzzy J-K flip-flops based on bounded product, bounded sum and complementation. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Massoud Pedram, Qing Wu 0002, Xunwei Wu A New Design for Double Edge Triggered Flip-flops. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  BibTeX  RDF
30Vladimir Stojanovic, Vojin G. Oklobdzija, Raminder Singh Bajwa Comparative analysis of latches and flip-flops for high-performance systems. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Hsing-Chung Liang, Chung-Len Lee 0001, Jwu E. Chen Partial Reset and Scan for Flip-Flops Based on States Requirement for Test Generation. Search on Bibsonomy VTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Samy Makar A layout-based approach for ordering scan chain flip-flops. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Gerard M. Blair Comments on "New single-clock CMOS latches and flip-flops with improved speed and power savings". Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Robert Rogenmoser, Qiuting Huang An 800-MHz 1-μm CMOS pipelined 8-b adder using true single-phase clocked logic-flip-flops. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Byungsoo Chang, Joonbae Park, Wonchan Kim A 1.2 GHz CMOS dual-modulus prescaler using new dynamic D-type flip-flops. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Samiha Mourad Digital design with minimal number of scan flip-flops. Search on Bibsonomy ICECS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Youn-Long Lin, Tsung-Yi Wu Storage optimization by replacing some flip-flops with latches. Search on Bibsonomy EURO-DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Rafael Llopis, Manoj Sachdev Low power, testable dual edge triggered flip-flops. Search on Bibsonomy ISLPED The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Kiyoshi Ishii, Haruhiko Ichino, Minoru Togashi, Yoshiji Kobayashi, Chikara Yamaguchi Very-high-speed Si bipolar static frequency dividers with new T-type flip-flops. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Kaoru Hirota, Witold Pedrycz Design of fuzzy systems with fuzzy flip-flops. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Albrecht P. Stroele, Hans-Joachim Wunderlich Configuring Flip-Flops to BIST Registers. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30Srimat T. Chakradhar, Arun Balakrishnan, Vishwani D. Agrawal An Exact Algorithm for Selecting Partial Scan Flip-Flops. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30Gerd Rietsche State assignment for finite state machines using T flip-flops. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Qiuting Huang Speed Optimization of Edge-Triggered Nine-Transistor D-Flip-Flops for Gigahertz Single-Phase Clocks. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
30Miron Abramovici, Prashant S. Parikh, Ben Mathew, Daniel G. Saab On Selecting Flip-Flops for Partial Reset. Search on Bibsonomy ITC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Doowon Paik, Sudhakar M. Reddy, Sartaj Sahni Heuristics for the Placement of Flip-Flops in Partial Scan Designs and the Placement of Signal Boosters in Lossy Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Miron Abramovici, James J. Kulikowski, Rabindra K. Roy The Best Flip-Flops to Scan. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
30Dong-Ho Lee, Sudhakar M. Reddy On Determining Scan Flip-Flops in Partial-Scan Designs. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
30Sudhakar M. Reddy, Ramaswami Dandapani Scan Design Using Standard Flip-Flops. Search on Bibsonomy IEEE Des. Test The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
30Madhukar K. Reddy, Sudhakar M. Reddy Detecting FET Stuck-Open Faults in CMOS Latches and Flip-Flops. Search on Bibsonomy IEEE Des. Test The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
30Dilip K. Bhavsar A New Economical Implementation for Scannable Flip-Flops in MOS. Search on Bibsonomy IEEE Des. Test The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
30G. Lacroix, Philippe Marchegay, G. Piel Comments on "The Anomalous Behavior of Flip-Flops in Synchronizer Circuits". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF uncertainty interval, Anomalous response of flip-flop, flip-flop metastable state, asynchronous interactions, synchronizer failures
30Frank Rubin Decrypting a Stream Cipher Based on j-k Flip-Flops. Search on Bibsonomy Cryptologia The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
30Stephen H. Unger Double-Edge-Triggered Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
30Werner Fleischhammer, Osman Dörtok The Anomalous Behavior of Flip-Flops in Synchronizer Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
30Thurman A. Irving Jr., Sajjan G. Shiva, H. Troy Nagle Jr. Flip-Flops for Multiple-Valued Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
30Frank B. Manning, Robert Fenichel Synchronous Counters Constructed Entirely of J-K Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
30Vera Pless Mathematical Foundations of Interconnected J-K Flip-Flops Search on Bibsonomy Inf. Control. The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
30Jon G. Bredeson Comments on "Synthesis of Multiple-Input Change Asynchronous Machines Using Controlled Excitation and Flip-Flops". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Asynchronous machine, controlled excitation, edge sensitive flip-flop, multiple-input changes, sequential circuit, self-synchronization
30Yoshihiro Tohma Design Technique of Fail-Safe Sequential Circuits Using Flip-Flops For Internal Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
30G. L. Tumbush, James E. Brandeberry A State Assignment Technique for Sequential Machines Using J-K Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
30Henry Y. H. Chuang, Santanu Das Synthesis of Multiple-Input Change Asynchronous Machines Using Controlled Excitation and Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
30Jon G. Bredeson, Paul T. Hulina Synthesis of Multiple-Input Change Asynchronous Circuits Using Transition-Sensitive Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
30Henry Y. H. Chuang, Santanu Das Multiple-Input Change Asynchronous Machines Using Controlled Excitation and Flip-Flops Search on Bibsonomy SWAT The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
30Janusz A. Brzozowski About Feedback and SR Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
30John R. Smith Jr., Charles H. Roth Jr. Analysis and Synthesis of Asynchronous Sequential Networks Using Edge-Sensitive Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
30James T. Cain, Marlin H. Mickle, Lawrence P. McNamee Compiler level simulation of edge sensitive flip-flops. Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
30Edwin S. Lee Associative techniques with complementing flip-flops. Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1963 DBLP  DOI  BibTeX  RDF
30Vasil Uzunoglu Circuits Using Tunnel Diode Flip-Flops and PNPN Diodes. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1962 DBLP  DOI  BibTeX  RDF
30Z. Bay, N. T. Grisamore High-Speed Flip-Flops for the Millimicrosecond Region. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1956 DBLP  DOI  BibTeX  RDF
30John O. Paivinen, Isaac L. Auerbach Design of triode flip-flops for long-term stability. Search on Bibsonomy Trans. I R E Prof. Group Electron. Comput. The full citation details ... 1953 DBLP  DOI  BibTeX  RDF
27Rajamani Sethuram, Seongmoon Wang, Srimat T. Chakradhar, Michael L. Bushnell Zero Cost Test Point Insertion Technique for Structured ASICs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Arun Balakrishnan, Srimat T. Chakradhar Sequential Circuits with combinational Test Generation Complexity. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Farshad Moradi, Dag T. Wisland, Hamid Mahmoodi, Ali Peiravi, Snorre Aunet, Tuan Vu Cao New subthreshold concepts in 65nm CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Stephan Henzler, Siegmar Koeppe Design and Application of Power Optimized High-Speed CMOS Frequency Dividers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Chao-Wen Tzeng, Jheng-Syun Yang, Shi-Yu Huang A versatile paradigm for scan chain diagnosis of complex faults using signal processing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF profiling, design for testability, Diagnosis, fault, scan chain
25Simone Medardoni, Marcello Lajolo, Davide Bertozzi Variation tolerant NoC design by means of self-calibrating links. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Hyein Lee 0003, Seungwhun Paik, Youngsoo Shin Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jia Wang 0003, Hai Zhou 0001 An efficient incremental algorithm for min-area retiming. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF retiming
25Peiyi Zhao, Jason McNeely, Pradeep Golconda, Magdy A. Bayoumi, Robert A. Barcenas, Weidong Kuang Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Gustavo Neuberger, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001, Gilson I. Wirth, Ralf Brederlow, Christian Pacha Statistical analysis of systematic and random variability of flip-flop race immunity in 130nm and 90nm CMOS technologies. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Subhasish Mitra Circuit Failure Prediction Enables Robust System Design Resilient to Aging and Wearout. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Praveen Elakkumanan, Kishan Prasad, Ramalingam Sridhar Time Redundancy Based Scan Flip-Flop Reuse To Reduce SER Of Combinational Logic. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Peiyi Zhao, Pradeep Kumar Golconda, C. Archana, Magdy A. Bayoumi A Double-Edge Implicit-Pulsed Level Convert Flip-Flop. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Lucanus J. Simonson, King Ho Tam, Nataraj Akkiraju, Mosur Mohan, Lei He 0001 Leveraging Delay Slack in Flip-Flop and Buffer Insertion for Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Timothy Wheeler, Paul S. Graham, Brent E. Nelson, Brad L. Hutchings Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Microprocessor self-testing, Path delay fault classification, Functionally testable paths, Functional tests, Delay fault testing
25Wenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi On the Complexity of Sequential Testing in Configurable FPGAs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGA, pipeline, PLD, sequential testing, iterative array
17Husain Parvez, Zied Marrakchi, Habib Mehrez Heterogeneous-ASIF: an application specific inflexible FPGA using heterogeneous logic blocks (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asif, fpga, architecture, application specific, cad
17Nagaraj Ns, Juan C. Rey, Jamil Kawa, Robert C. Aitken, Christian Lütkemeyer, Vijay Pitchumani, Andrzej J. Strojwas, Steve Trimberger Who solves the variability problem? Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IC variability
17Yi-Lin Chuang, Sangmin Kim, Youngsoo Shin, Yao-Wen Chang Pulsed-latch aware placement for timing-integrity optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pulsed latch, placement, physical design
17Youngsoo Shin, Seungwhun Paik, Hyung-Ock Kim Semicustom Design of Zigzag Power-Gated Circuits in Standard Cell Elements. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Xiaoxia Wu, Paul Falkenstern, Krishnendu Chakrabarty, Yuan Xie 0001 Scan-chain design and optimization for three-dimensional integrated circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scan-chain design, genetic algorithm, integer linear programming, randomized rounding, LP relaxation, 3D ICs
17Tomasz Rudnicki, Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka Effective BIST for crosstalk faults in interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Qiang Wang, Subodh Gupta, Jason Helge Anderson Clock power reduction for virtex-5 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, clocking
17Jia Wang 0003, Hai Zhou 0001 Risk aversion min-period retiming under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bernd Finkbeiner, Lars Kuhtz Monitor Circuits for LTL with Bounded and Unbounded Future. Search on Bibsonomy RV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Mahta Haghi, Jeff Draper The effect of design parameters on single-event upset sensitivity of MOS current mode logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mos current mode logic (mcml), single event upset (seu), design parameters, radiation hardening
17Abhranil Maiti, Raghunandan Nagesh, Anand Reddy, Patrick Schaumont Physical unclonable function and true random number generator: a compact and scalable implementation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ring oscillators (ro), trng, fpga, scalable, jitter, macro, puf
17Satish Sivaswamy, Kia Bazargan Statistical Analysis and Process Variation-Aware Routing and Skew Assignment for FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF skew assignment, routing, Statistical timing analysis
17Ho Fai Ko, Nicola Nicolici Automated Scan Chain Division for Reducing Shift and Capture Power During Broadside At-Speed Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hideo Fujiwara, Hiroyuki Iwata, Tomokazu Yoneda, Chia Yee Ooi A Nonscan Design-for-Testability Method for Register-Transfer-Level Circuits to Guarantee Linear-Depth Time Expansion Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Dong Xiang, Mingjing Chen, Jia-Guang Sun Scan BIST with biased scan test signals. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF random testability, test signal, biased random testing, scan-based BIST
17Saeed Sharifi Tehrani, Shie Mannor, Warren J. Gross Fully Parallel Stochastic LDPC Decoders. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 823 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license