The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instruction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1963 (15) 1964-1967 (16) 1968 (15) 1969-1972 (22) 1973-1974 (38) 1975-1976 (32) 1977 (19) 1978 (20) 1979 (19) 1980 (21) 1981 (18) 1982 (45) 1983 (24) 1984 (35) 1985 (26) 1986 (38) 1987 (67) 1988 (92) 1989 (90) 1990 (104) 1991 (94) 1992 (99) 1993 (111) 1994 (136) 1995 (151) 1996 (247) 1997 (229) 1998 (225) 1999 (286) 2000 (344) 2001 (337) 2002 (403) 2003 (497) 2004 (547) 2005 (603) 2006 (715) 2007 (639) 2008 (722) 2009 (452) 2010 (273) 2011 (212) 2012 (228) 2013 (213) 2014 (214) 2015 (199) 2016 (210) 2017 (208) 2018 (222) 2019 (203) 2020 (236) 2021 (273) 2022 (286) 2023 (641) 2024 (231)
Publication types (Num. hits)
article(3426) book(12) incollection(148) inproceedings(7725) phdthesis(130) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(690) MICRO(269) ISCA(208) IEEE Trans. Computers(179) SIGCSE(165) DATE(159) DAC(133) ICCD(118) IEEE Trans. Very Large Scale I...(107) Innovative Techniques in Instr...(104) Comput. Educ.(99) HPCA(94) ASPLOS(88) ASAP(86) CASES(86) ICALT(81) More (+10 of total 2027)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8210 occurrences of 3021 keywords

Results
Found 11442 publication records. Showing 11442 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Ido Roll, Vincent Aleven, Kenneth R. Koedinger Promoting Effective Help-Seeking Behavior Through Declarative Instruction. Search on Bibsonomy Intelligent Tutoring Systems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Vikram S. Adve, Michael Brukman, Alkis Evlogimenos, Brian Gaeke Software Implications of Virtual Instruction Set Computers. Search on Bibsonomy IPDPS Next Generation Software Program - NSFNGS - PI Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Spiridon Nikolaidis 0001, Nikolaos Kavvadias, Theodore Laopoulos, Labros Bisdounis, Spyros Blionas Instruction Level Energy Modeling for Pipelined Processors. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 Enhancing Speedup in Network Processing Applications by Exploiting Instruction Reuse with Flow Aggregation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Erik Eckstein, Oliver König, Bernhard Scholz Code Instruction Selection Based on SSA-Graphs. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Bernard Goossens The Instruction Register File. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25José L. Ayala, Marisa Luisa López-Vallejo, Alexander V. Veidenbaum, Carlos A. Lopez Energy Aware Register File Implementation through Instruction Predecode. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Alper Buyuktosunoglu, Tejas Karkhanis, David H. Albonesi, Pradip Bose Energy Efficient Co-Adaptive Instruction Fetch and Issue. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Nathan T. Slingerland, Alan Jay Smith Measuring the Performance of Multimedia Instruction Sets. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VIS, MVI, multimedia, benchmarking, performance measurement, SIMD, subword parallel, MMX, SSE, AltiVec
25Johann Großschädl Instruction Set Extension for Long Integer Modulo Arithmetic on RISC-Based Smart Cards. Search on Bibsonomy SBAC-PAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Marios Iliopoulos, Theodore Antonakopoulos 0001 Run-Time Optimized Reconfiguration Using Instruction Forecasting. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Kenji Watanabe, Wanming Chu, Yamin Li Exploiting Java Instruction/Thread Level Parallelism with Horizontal Multithreading. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Heui Lee, Paul Becket, Bill Appelbe High-Performance Extendable Instruction Set Computing. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Michael S. Schlansker, B. Ramakrishna Rau EPIC: Explicititly Parallel Instruction Computing. Search on Bibsonomy Computer The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25James E. Smith 0001 Instruction Level Distributed Processing. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25James E. Smith 0001 Instruction Level Distributed Processing: Adapting to Future Technology. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Austin Kim, J. Morris Chang An Advanced Instruction Folding Mechanism for a Stackless Java Processor. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Yuan C. Chou, John Paul Shen Instruction path coprocessors. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Artur Klauser, Dirk Grunwald Instruction Fetch Mechanisms for Multipath Execution Processors. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25G. Arcangeli, Jürgen Assfalg, R. Tartaglia, Enrico Vicario A Virtual Environment for Construction Workers Instruction and Training. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Fred G. Gustavson, José E. Moreira, Robert F. Enenkel The fused multiply-add instruction leads to algorithms for extended-precision floating point: applications to java and high-performance computing. Search on Bibsonomy CASCON The full citation details ... 1999 DBLP  BibTeX  RDF Java
25Walter Lee, Rajeev Barua, Matthew I. Frank, Devabhaktuni Srikrishna, Jonathan Babb, Vivek Sarkar, Saman P. Amarasinghe Space-Time Scheduling of Instruction-Level Parallelism on a Raw Machine. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Shyh-An Chi, R.-Ming Shiu, Jih-Ching Chiu, Si-En Chang, Chung-Ping Chung Instruction Cache Prefetching with Extended BTB. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Sriram Vajapeyam, Tulika Mitra Improving Superscalar Instruction Dispatch and Issue by Exploiting Dynamic Code Sequences. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Ali-Reza Adl-Tabatabai, Thomas R. Gross Detection and Recovery of Endangered Variables Caused by Instruction Scheduling. Search on Bibsonomy PLDI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Chad L. Mitchell, Michael J. Flynn The Effects of Processor Architecture on Instruction Memory Traffic. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Mitsuhisa Sato, Shuichi Ichikawa, Eiichi Goto Multiple instruction streams in a highly pipelined processor. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Jong-Jiann Shieh, Christos A. Papachristou On reordering instruction streams for pipelined computers. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
25John M. Mellor-Crummey, Thomas J. LeBlanc A Software Instruction Counter. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
25Rajeev Kumar 0004, Dipankar Das 0002 Code compression for performance enhancement of variable-length embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus switching, code decompression, instruction memory, variable-length ISAs, embedded systems, Code compression, RISC processor
25Jun Le The Strategy and Practice of Blended Learning in Open and Distance Learning: Experiences from GDRTVU. Search on Bibsonomy ICHL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distance learning, instruction design, blended learning, online learning environment
25Xianhua Liu 0001, Jiyu Zhang, Xu Cheng 0001 Efficient code size reduction without performance loss. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-width instruction set, mixed code generation, embedded system, code size reduction
25Deok Jin Kim, Tae-Hyung Kim, Jong Kim 0001, Sung Je Hong Return Address Randomization Scheme for Annuling Data-Injection Buffer Overflow Attacks. Search on Bibsonomy Inscrypt The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Return Address, return-into-libc Attack, Data Injection Buffer Overflow Attack, Security, Randomization, Buffer Overflow, Instruction Set
25Kazuo Sakiyama, Lejla Batina, Bart Preneel, Ingrid Verbauwhede Superscalar Coprocessor for High-Speed Curve-Based Cryptography. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF curve-based cryptography, HECC, ECC, instruction-level parallelism, scalar multiplication, Superscalar, coprocessor
25Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Software Trace Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler optimizations, branch prediction, Pipeline processors, trace cache, instruction fetch
25Marc L. Corliss, E. Christopher Lewis, Amir Roth The implementation and evaluation of dynamic code decompression using DISE. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DISE, code decompression, dynamic instruction stream editing, Code compression, dynamic instrumentation
25Pan Yu, Tulika Mitra Satisfying real-time constraints with custom instructions. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time systems, execution time, instruction-set extensions, worst-case, customizable processors
25K. Ananda Vardhan, Y. N. Srikant Transition aware scheduling: increasing continuous idle-periods in resource units. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage energy consumption, instruction scheduling, transitions, functional units, idle periods
25Tom Wulf Constructivist approaches for teaching computer programming. Search on Bibsonomy SIGITE Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF constructivist pedagogy, programming instruction, active learning, cognitive apprenticeships
25Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, Glenn Reinman Scaling the issue window with look-ahead latency prediction. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LHT, MNM, SILO, instruction sorting, CLP
25Tilman Glökler, Andreas Hoffmann 0002, Heinrich Meyr Methodical Low-Power ASIP Design Space Exploration. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ICORE, low power, ASIP, application-specific instruction set processor, low energy, LISA
25Chunho Lee, Johnson Kin, Miodrag Potkonjak, William H. Mangione-Smith Exploring Hypermedia Processor Design Space. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hypermedia processor, synthesis framework, instruction-level parallelism, workload characterization
25Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Trace Cache Redundancy: Red & Blue Traces. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF trace cache, instruction fetch, code reordering
25Zhijie Shi, Ruby B. Lee Bit Permutation Instructions for Accelerating Software Cryptography. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bit-level instructions, security, cryptography, permutations, processor architecture, Instruction Set Architecture
25Marco Antonio Dal Poz, Jose Edinson Aedo Cobo, Wilhelmus A. M. Van Noije, Marcelo Knörich Zuffo A Simple RISC Microprocessor Core Designed for Digital Set-Top-Box Applications. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, VHDL, Reconfigurable Computing, Microprocessor, MPEG, RISC, Co-Design, Instruction Set, HDTV, Set-Top-Box, iDCT, cable TV
25Toshinori Sato, Itsujiro Arita Partial Resolution in Data Value Predictors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF partial resolution, tag bitwidth, instruction level parallelism, value prediction, data speculation
25Lori Carter, Beth Simon, Brad Calder, Larry Carter, Jeanne Ferrante Predicated Static Single Assignment. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Predicated Compiler Analysis, Instruction Scheduling
25Narayan Ranganathan, Manoj Franklin An Empirical Study of Decentralized ILP Execution Models. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF execution unit dependence, hardware window, instruction-level parallelism, data dependence, dynamic scheduling, speculative execution, control dependence, decentralization
24Suriya Subramanian, Kathryn S. McKinley HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Dimitris Saougkos, George Manis, Konstantinos Blekas, Apostolos V. Zarras Revisiting Java Bytecode Compression for Embedded and Mobile Computing Environments. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compression (coding), Java
24Nathan Clark, Amir Hormati, Sami Yehia, Scott A. Mahlke, Krisztián Flautner Liquid SIMD: Abstracting SIMD Hardware using Lightweight Dynamic Mapping. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Michael Andrews, Jeremy Johnson Performance Analysis of a Family of WHT Algorithms. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Benjamin Carrión Schäfer, Yongho Lee, Taewhan Kim Temperature-Aware Compilation for VLIWProcessors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan An analysis of a resource efficient checkpoint architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF checkpoint architecture, high-performance computing, Computer architecture, scalable architecture
24Ashok Halambi, Aviral Shrivastava, Partha Biswas, Nikil D. Dutt, Alexandru Nicolau An Efficient Compiler Technique for Code Size Reduction Using Reduced Bit-Width ISAs. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Nael B. Abu-Ghazaleh, Philip A. Wilsey On the Structure of Concurrent Interpreters. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Wieland Fischer, Jean-Pierre Seifert Increasing the Bitlength of a Crypto-Coprocessor. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Arithmetical coprocessor, Hardware/Software codesign, Modular multiplication, Hardware architecture
24Byron Cook, John Launchbury, John Matthews, Richard B. Kieburtz Formal Verification of Explicitly Parallel Microprocessors. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24David Channon, David Koch Performance Analysis of Re-configurable Partitioned TLBs. Search on Bibsonomy HICSS (5) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Computer Architecture, Memory Management, Partitioning Algorithm, Address Translation
24Neff Walker, John B. Smelcer A comparison of selection time from walking and pull-down menus. Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Jakob Nielsen Designing for international use (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Mark R. Thistle, Burton J. Smith A processor architecture for horizon. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24Peter B. Kessler Discovering machine-specific code improvements. Search on Bibsonomy SIGPLAN Symposium on Compiler Construction The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
24Vishv M. Malhotra, Sanjeev Kumar Automatic Retargetable Code Generation: A New Technique. Search on Bibsonomy FSTTCS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
22Miao Wang, Guiming Wu, Zhiying Wang 0003 Instruction Selection for Subword Level Parallelism Optimizations for Application Specific Instruction Processors. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Mrinal Bose, Elizabeth M. Rudnick, Magdy S. Abadir Automatic Bias Generation Using Pipeline Instruction State Coverage for Biased Random Instruction Generation. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Gene McDaniel An Analysis of a Mesa Instruction Set Using Dynamic Instruction Frequencies. Search on Bibsonomy ASPLOS The full citation details ... 1982 DBLP  DOI  BibTeX  RDF MESA
22Minming Li, Chun Jason Xue, Tiantian Liu 0001, Yingchao Zhao 0001 Analysis and approximation for bank selection instruction minimization on partitioned memory architecture. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bank selection instruction minimization, partitioned memory architecture
22Beth Simon, Michael Kohanfars, Jeff Lee, Karen Tamayo, Quintin I. Cutts Experience report: peer instruction in introductory computing. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF classroom response, clickers, prs, active learning, cs1, peer instruction
22Pieter Devolder, Bram Pynoo, Tony Voet, Luc Adang, Jan Vercruysse, Philippe Duyck Optimizing Physicians' Instruction of PACS Through E-Learning: Cognitive Load Theory Applied. Search on Bibsonomy J. Digit. Imaging The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Informatics training, PACS training, clinical image viewing, cognitive load theory, e-learning, computer-assisted instruction
22Alastair Colin Murray, Richard Vincent Bennett, Björn Franke, Nigel P. Topham Code transformation and instruction set extension. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF source-level transformations, compilers, design space exploration, ASIPs, instruction set extension, Customizable processors
22Meng-Huan Wu, Cheng-Yang Fu, Peng-Chih Wang, Ren-Song Tsay An effective synchronization approach for fast and accurate multi-core instruction-set simulation. Search on Bibsonomy EMSOFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF synchronization, multi-core, binary translation, instruction-set simulator
22Theo Kluter, Philip Brisk, Paolo Ienne, Edoardo Charbon Way Stealing: cache-assisted automatic instruction set extensions. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF automatic identification, way stealing, instruction set extensions, application-specific processors, memory coherence
22Sherry Y. Chen, Xiaohui Liu 0001 An Integrated Approach for Modeling Learning Patterns of Students in Web-Based Instruction: A Cognitive Style Perspective. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF learning patterns, data mining, Cognitive style, Web-based instruction
22Clément Ballabriga, Hugues Cassé, Pascal Sainrat An improved approach for set-associative instruction cache partial analysis. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WCET computation, partial cache analysis, partial static analysis, abstract interpretation, COTS, instruction cache
22Natalie Linnell, Richard J. Anderson, Jane Prey Cross-cultural issues in a tutored video instruction course. Search on Bibsonomy SIGCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF international course offerings, tutored video instruction, tablet pc, classroom presenter
22Matthias Krause 0002, Dominik Englert, Oliver Bringmann 0001, Wolfgang Rosenstiel Combination of instruction set simulation and abstract RTOS model execution for fast and accurate target software evaluation. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RTOS modeling, embedded systems, instruction set simulation
22Fang Song 0003, Shuanghui Hao, Minghui Hao, Zhimin Yang Research on Acceleration and Deceleration Control Algorithm of NC Instruction Interpretations with High-Order Smooth. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NC instruction, acc/dec control, high-order smooth, moving-average algorithm
22Xinchun Cui, Haiqing Wang An Online Special Terminology Interpreting System for Bilingual Instruction. Search on Bibsonomy WBL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF special terminology interpreting system, bilingual instruction, Ajax
22Shu Xiao 0001, Edmund Ming-Kit Lai VLIW instruction scheduling for minimal power variation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power variation reduction, Instruction scheduling, VLIW processors
22Mame Maria Mbaye, Normand Bélanger, Yvon Savaria, Samuel Pierre A Novel Application-specific Instruction-set Processor Design Approach for Video Processing Acceleration. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data grouping and reuse, optimization, parallelism, application-specific instruction-set processor, design exploration
22Carsten Gremzow Compiled low-level virtual instruction set simulation and profiling for code partitioning and ASIP-synthesis in hardware/software co-design. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF hardware/software co-synthesis, instruction set architecture simulation, quantitative dataflow analysis, profiling, coarse-grained parallelism, LLVM
22Nathan Jachimiec, Fernando Martinez-Vallina, Jafar Saniie CReconfigurable finite field instruction set architecture. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MicroBlaze, embedded development, fast simplex links, galois fields, instruction set extensions, partial reconfiguration, finite field arithmetic, Xilinx, FSL
22Fu-Ching Yang, Ing-Jer Huang An Embedded Low Power/Cost 16-Bit Data/Instruction Microprocessor Compatible with ARM7 Software Tools. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 16 bit, ARM7 software tools, THUMB instruction set microprocessor, short-precision computing
22L. Amaya Becvar, James D. Hollan Transparency and technology appropriation: social impacts of a video blogging system in dental hygiene clinical instruction. Search on Bibsonomy GROUP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clinical instruction, technology appropriation, video blogging, design, ethnography, social impacts, professional education
22Richard J. Anderson, Ruth E. Anderson, Katie M. Davis, Natalie Linnell, Craig Prince, Valentin Razmov Supporting active learning and example based instruction with classroom technology. Search on Bibsonomy SIGCSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF example based instruction, student submissions, technology in education, algorithms, active learning, pedagogy, collaborative learning, tablet PC, digital ink
22Alexander A. Moldovyan, Nick A. Moldovyan, Peter A. Moldovyanu Architecture Types of the Bit Permutation Instruction for General Purpose Processors. Search on Bibsonomy IF&GIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fast software encryption, controlled bit permutation, new CPU instruction, Cryptography
22I-Wei Wu, Shih-Chia Huang, Chung-Ping Chung, Jean Jyh-Jiun Shann Instruction Set Extension Generation with Considering Physical Constraints. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pipestage Timing Constraint, ASIP, Instruction set extension, Extensible Processors
22Timothy Furtak, José Nelson Amaral, Robert Niewiadomski Using SIMD registers and instructions to enable instruction-level parallelism in sorting algorithms. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sorting, instruction-level parallelism, SIMD, vectorization, sorting networks, quicksort
22Chengmo Yang, Alex Orailoglu Power-efficient instruction delivery through trace reuse. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processor, low-power design, instruction delivery
22Oliverio J. Santana, Ayose Falcón, Alex Ramírez, Mateo Valero Branch predictor guided instruction decoding. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity-effective, instruction decoding, branch predictor
22Wei Qin, Joseph D'Errico, Xinping Zhu A multiprocessing approach to accelerate retargetable and portable dynamic-compiled instruction-set simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF retargetable, instruction set simulator, compiled simulation
22Matthew J. Bridges, Neil Vachharajani, Guilherme Ottoni, David I. August Automatic instruction scheduler retargeting by reverse-engineering. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF automatic retargeting, structural hazard, compilers, reverse-engineering, instruction scheduling
22Jose Rizo-Morente, Miguel Casas-Sanchez, Chris J. Bleakley Dynamic current modeling at the instruction level. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF current and power measurement in a processor, dynamic instruction-level current model
22Vladimir Stojanovic, R. Iris Bahar, Jennifer Dworak, Richard Weiss 0001 A cost-effective implementation of an ECC-protected instruction queue for out-of-order microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction queue, reliability, error correcting codes
22Robert L. Bocchino Jr., Vikram S. Adve Vector LLVA: a virtual vector instruction set for media processing. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF virtual instruction sets, multimedia, SIMD, vector
22Elena Gabriela Barrantes, David H. Ackley, Stephanie Forrest, Darko Stefanovic Randomized instruction set emulation. Search on Bibsonomy ACM Trans. Inf. Syst. Secur. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Automated diversity, randomized instruction sets, software diversity
22Adrián Cristal, Oliverio J. Santana, Francisco J. Cazorla, Marco Galluzzi, Tanausú Ramírez, Miquel Pericàs, Mateo Valero Kilo-Instruction Processors: Overcoming the Memory Wall. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF in-flight instructions, ROB, superscalar processors, memory wall, issue queue, Kilo-instruction processors
22Sid Ahmed Ali Touati Register Saturation in Instruction Level Parallelism. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Register requirement, instruction level parallelism, integer linear programming, optimizing compilation, register pressure
Displaying result #501 - #600 of 11442 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license