The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1963 (19) 1964-1966 (16) 1967-1968 (38) 1969 (17) 1970 (17) 1971 (18) 1972 (20) 1973 (28) 1974 (54) 1975 (27) 1976 (90) 1977 (64) 1978 (70) 1979 (48) 1980 (74) 1981 (77) 1982 (126) 1983 (110) 1984 (108) 1985 (137) 1986 (192) 1987 (214) 1988 (274) 1989 (290) 1990 (372) 1991 (311) 1992 (325) 1993 (348) 1994 (382) 1995 (541) 1996 (509) 1997 (560) 1998 (454) 1999 (672) 2000 (756) 2001 (718) 2002 (952) 2003 (1177) 2004 (1365) 2005 (1596) 2006 (1707) 2007 (1708) 2008 (1614) 2009 (1181) 2010 (660) 2011 (554) 2012 (494) 2013 (501) 2014 (427) 2015 (456) 2016 (470) 2017 (416) 2018 (418) 2019 (460) 2020 (399) 2021 (428) 2022 (386) 2023 (419) 2024 (74)
Publication types (Num. hits)
article(7025) book(16) data(1) incollection(53) inproceedings(18549) phdthesis(278) proceedings(16)
Venues (Conferences, Journals, ...)
IPDPS(464) IEEE Trans. Computers(447) DATE(392) CoRR(368) ISCAS(348) ISCA(344) DAC(331) IEEE Trans. Parallel Distribut...(324) ICASSP(295) IEEE J. Solid State Circuits(284) MICRO(270) ICCD(252) FPL(249) IEEE Trans. Very Large Scale I...(248) IEEE Micro(233) ASAP(228) More (+10 of total 2714)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 20075 occurrences of 5412 keywords

Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Abhishek A. Sinkar, Nam Sung Kim Analyzing potential power reduction with adaptive voltage positioning optimized for multicore processors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive voltage positioning, multicore processor
18Kunal Agrawal, Charles E. Leiserson, Yuxiong He, Wen-Jing Hsu Adaptive work-stealing with parallelism feedback. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instantaneous parallelism, parallelism feedback, trim analysis, parallel computation, randomized algorithm, job scheduling, multiprogramming, processor allocation, multiprocessing, work-stealing, Adaptive scheduling, work, thread scheduling, adversary, span, space sharing, two-level scheduling
18Amin Asghari, Seied Ahmad Motamedi, Sepehr Attarchi Effective RTL Method to Develop On-Line Self-Test Routine for the Processors Using the Wavelet Transform. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF On-line low-cost testing, Spectral test pattern generating, Software-based self-testing (SBST), Register transfer level (RTL), Processor testing
18Peng Zhou, Soner Önder Improving single-thread performance with fine-grain state maintenance. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF processor state, runahead, simultaneous multi-threading, checkpoint, recovery
18Weiwu Hu, Ji-Ye Zhao, Shi-Qiang Zhong, Xu Yang, Elio Guidetti, Chris Wu Implementing a 1GHz Four-Issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF superscalar pipeline, non-blocking cache, synthesis flow, bit-sliced placement, crafted cell, performance evaluation, physical design, out-of-order execution, general-purpose processor
18Shih-Lien Lu, Peter Yiannacouras, Rolf Kassa, Michael Konow, Taeweon Suh An FPGA-based Pentium in a complete desktop system. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF pentium®, FPGA, emulator, accelerator, processor
18Sandro Neves Soares, Flávio Rech Wagner From classroom to research: providing different services for computer architecture education. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF computer architecture education, processor modeling and simulation
18Shuenn-Yuh Lee, Chia-Chyang Chen VLSI implementation of programmable FFT architectures for OFDM communication system. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FFT processor, low power, VLSI architecture
18Kunal Agrawal, Yuxiong He, Wen-Jing Hsu, Charles E. Leiserson Adaptive scheduling with parallelism feedback. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF greedy scheduling, instantaneous parallelism, parallelism feedback, trim analysis, parallel computation, task scheduling, job scheduling, multiprogramming, processor allocation, multiprocessing, critical path, adaptive scheduling, work, adversary, space sharing, data-parallel computing, two-level scheduling
18Mihalis Psarakis, Dimitris Gizopoulos, Miltiadis Hatzimihail, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi 0001 Systematic software-based self-test for pipelined processors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF functional testing, software-based self-test, processor testing
18Ruby B. Lee, A. Murat Fiskiran PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, processor architecture, instruction set architecture, media processing, ISA
18Slo-Li Chu POERS: A Performance-Oriented Energy Reduction Scheduling Technique for a High-Performance MPSoC Architecture. Search on Bibsonomy ICPADS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF POERS, SAGE II, MPSoC, Processor-in-Memory, Energy Reduction
18Slo-Li Chu An Energy Reduction Scheduling Mechanism for a High-Performance SoC Architecture. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EOPRS, SAGE II, SoC, Power Reduction, Processor-in-Memory
18Julita Corbalán, Xavier Martorell, Jesús Labarta Page Migration with Dynamic Space-Sharing Scheduling Policies: The Case of the SGI O2000. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory page migration, dynamic processor allocation policy, multiprogrammed workload, OpenMP, CC-NUMA
18Gehan Weerasinghe, Imad Antonios, Lester Lipsky A Generalized Analytic Performance Model of Distributed Systems that Perform N Tasks Using P Fault-Prone Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Performance Evaluation, Fault-Tolerance, Markov Chains, Networks of Workstations, Processor Failures
18Bogdan S. Chlebus, Roberto De Prisco, Alexander A. Shvartsman Performing tasks on synchronous restartable message-passing processors. Search on Bibsonomy Distributed Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Processor restarts, Fault-tolerance, Distributed systems, Load balancing, Work
18Dirk Fimmel Generation of Scheduling Functions Supporting LSGP-Partitioning. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF processor array design, LSGP-partitioning, load balancing, linear programming, automatic parallelization, resource constraints
18Dale E. Parson, Paul Beatty, John Glossner, Bryan Schlieder A Framework for Simulating Heterogeneous Virtual Processors. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF simulation, design pattern, multiprocessor, processor, object-oriented framework, debugger
18Moonsoo Kang, Chansu Yu Job-Based Queue Delay Modeling in a Space-Shared Hypercube. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF topological delay, processor allocation, space sharing, queue delay, Hypercube computer
18Peggy B. K. Pang, Mark R. Greenstreet Self-Timed Meshes Are Faster Than Synchronous. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF self-timed meshes, linear speed-up, per-processor performance, simulation, logic CAD
18Basem A. Nayfeh, Kunle Olukotun, Jaswinder Pal Singh The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF shared-cache clustering, small-scale shared-memory multiprocessors, shared global bus, low-latency interconnections, performance evaluation, shared memory systems, cache storage, memory system, multichip module, L2 cache, processor performance, high-bandwidth, bus contention
18Satoshi Fujita, Masafumi Yamashita Maintaining a Dynamic Set of Processors in a Distributed System. Search on Bibsonomy WDAG The full citation details ... 1996 DBLP  DOI  BibTeX  RDF processor structure, amortized message complexity, data structure, group communication, dynamic set
18Catherine H. Gebotys, Robert J. Gebotys Optimized mapping of video applications to hardware-software for VLSI architectures. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integer optimization, video computations, video systems, optimized mapping, scheduling, VLSI, optimisation, VLSI architectures, video signal processing, VLIW processor
18A. J. Korenjak A practical method for constructing LR(k) processors. Search on Bibsonomy Commun. ACM The full citation details ... 1969 DBLP  DOI  BibTeX  RDF LR(k) grammar, deterministic language, language processor, syntax-directed compiler, ALGOL, ALGOL, parser, context-free language, syntactic analysis
18Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Instruction set synthesis with efficient instruction encoding for configurable processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISA customization and specialization, bitwidth-economical, Application-specific instruction set processor (ASIP), configurable processor, instruction encoding
18Jer-Yu Hsu, Yan-Zu Wu, Xuan-Yi Lin, Yeh-Ching Chung SCRF - A Hybrid Register File Architecture. Search on Bibsonomy PaCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cluster processor architecture, register architecture, register allocation algorithm, VLIW processor
18Gokhan Memik, William H. Mangione-Smith A flexible accelerator for layer 7 networking applications. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF pattern matching, network processor, accelerator, table lookup, application-specific processor, networking applications
18Hyesook Lim, Vincenzo Piuri, Earl E. Swartzlander Jr. A Serial-Parallel Architecture for Two-Dimensional Discrete Cosine and Inverse Discrete Cosine Transforms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Application specific processor architecture, Inverse Discrete Cosine Transform, serial-parallel processor, image compression, Discrete Cosine Transform, systolic array
18Sergej Sawitzki, Rainer G. Spallek, Jens Schönherr, Bernd Straube Formal Verification for Microprocessors with Extendable Instruction Set. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable processor architecture, abstraction techniques, formal verification, pipeline processor
18Juan C. Moure, Daniel Franco 0002, Elisa Heymann, Emilio Luque TransCom: A Communication Microkernel for Transputers. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF communication microkernel, TransCom, TransRouter, data transport, processor resource, parallel programming, parallel programming, parallel architecture, message passing, message passing, communication network, communication protocols, distributed memory systems, processor scheduling, network operating systems, access protocols, operating system kernels, transputers, distributed-memory multiprocessor, load distribution, communication primitives, transputer systems
18Roger Espasa, Mateo Valero Decoupled Vector Architectures. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF decoupled vector architectures, trace driven approach, Perfect Club programs, realistic memory latencies, bypassing technique, total memory traffic, performance advantages, performance evaluation, performance, vector processor, vector processor systems, hardware cost
18Eric A. Brewer, Paul Gauthier, Armando Fox, Angela Schuett Software Techniques for Improving MPP Bulk-Transfer Performance. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF software techniques, bulk-transfer performance, traffic interleaving, Thinking Machines CM-5, one-on-one communication, MIT Alewife machine, token-passing scheme, distributed dynamic scheduling, irregular traffic patterns, traffic massaging, near-permutations, performance evaluation, parallel machines, processor scheduling, software performance evaluation, telecommunication traffic, preprocessing, barriers, network congestion, algorithm performance, Intel Paragon, static scheduling, massively parallel processor, global state
18Xuemin Lin 0001, Simon Fox An effective parallelization of execution of multijoins in multiprocessor systems. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multijoins execution, synchronous execution strategy, processor allocation problem, inter-operator parallelization problem, parallelization, relational databases, multiprocessing systems, multiprocessor systems, processor scheduling
18Anna M. del Corral, José M. Llabería Access order to avoid inter-vector-conflicts in complex memory systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF access order, inter-vector-conflicts, complex memory systems, vector processor accessing vectors, concurrent memory access, inter-conflicts, performance evaluation, performance, vector processor systems, memory subsystem, memory modules
18Filip Thoen, Marco Cornero, Gert Goossens, Hugo De Man Real-time multi-tasking in software synthesis for information processing systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic processor mapping, automatically generated application-specific solution, concurrent process system specification, flexible execution models, hardware resource utilization, information processing systems, internal representation model, mobile satellite communication, personal terminal receiver demodulator, real-time multi-tasking, static information, time utilization, real-time systems, embedded systems, concurrency control, processor scheduling, timing constraints, computer aided software engineering, software synthesis, multiprocessing programs
18Shuichi Oikawa, Hideyuki Tokuda Efficient timing management for user-level real-time threads. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF efficient timing management, user-level real-time threads, specified time, upcalled user-level scheduler, user-level scheduler overhead, shared user-level timers, shared kernel/user structure, upcall performance, scheduling, performance evaluations, software engineering, real-time systems, resource allocation, timing, shared memory systems, kernel, processor scheduling, software performance evaluation, operating system kernels, hints, virtual processor
18Emile Haddad Optimal load distribution for asynchronously scheduled homogeneous multiprocessor and distributed systems. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimal load distribution, asynchronously scheduled homogeneous multiprocessor systems, interacting tasks, identical processors, job completion time minimization, execution initiation times, earliest availability, load parameters, optimal load allocation, uneven module distribution, distributed systems, resource allocation, distributed processing, multiprocessing systems, processor scheduling, minimisation, system parameters, processor assignment
18Ben Lee, Chae Shin, Ali R. Hurson A strategy for scheduling partially ordered program graphs onto multicomputers. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partially ordered program graphs, scalar performance, user code, compile-time scheduling heuristic, SISAL programs, scheduling, performance evaluation, scalability, parallel programming, message passing, reconfigurable architectures, program compilers, processor scheduling, multicomputers, communication overhead, simulation studies, BLAS, massively parallel processing, message-passing programming, inter-processor communication, message-passing multicomputers
18Thin-Fong Tsuei, Mary K. Vernon A Multiprocessor Bus Design Model Validated by System Measurement. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF commercial multiprocessor bus, bus design, asynchronous memorywrite operations, in-order delivery, processor read requests, memoryresponses, outstanding processor requests, two-level hierarchical model, mean value analysis techniques, measured system performance, parallel program workloads, memory access characteristics, analytic queueing models, model tractability, detailed simulation, system design tradeoffs, parallel programming, formal verification, Markov chain, Markov processes, queueing theory, multiprocessing systems, queueing networks, system buses, priority scheduling, performanceevaluation, system measurement
18Yaohan Chu Direct Execution In A High-Level Computer Architecture. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Control processor, Data processor, Lexical processing, Computer architecture, Interactive system, High-level architecture, Associative memory, Direct execution
18Stijn Eyerman, Lieven Eeckhout Probabilistic job symbiosis modeling for SMT processor scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simultaneous multi-threading (smt), symbiotic job scheduling, performance modeling
18Ranjani Sridharan, Rabi N. Mahapatra Reliability aware power management for dual-processor real-time embedded systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic fault-tolerance, dynamic power management
18Jinwon Lee, Seungwoo Kang, Youngki Lee, Sang Jeong Lee, Junehwa Song BMQ-Processor: A High-Performance Border-Crossing Event Detection Framework for Large-Scale Monitoring Applications. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Bo Fu, Paul Ampadu An Area Efficient FFT/IFFT Processor for MIMO-OFDM WLAN 802.11n. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF WLAN 802.11n, Fast fourier transform (FFT), MIMO-OFDM
18Yong Ki Lee, Kazuo Sakiyama, Lejla Batina, Ingrid Verbauwhede Elliptic-Curve-Based Security Processor for RFID. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Bingfeng Mei, Bjorn De Sutter, Tom Vander Aa, M. Wouters, Andreas Kanstein, Steven Dupont Implementation of a Coarse-Grained Reconfigurable Media Processor for AVC Decoder. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF H.264/AVC decoder, FPGA, multimedia, embedded system, reconfigurable architecture, VLIW
18Sachin S. Sapatnekar Adapting to the times [review of Adaptive Techniques for Dynamic Processor Optimization: Theory and Practice (Wang, A. and Naffziger, S., Eds.; 2008)]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Izhar Zaidi, Atukem Nabina, Cedric Nishan Canagarajah, José L. Núñez-Yáñez Power/Area Analysis of a FPGA-Based Open-Source Processor using Partial Dynamic Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Toshinori Sato, Toshimasa Funaki Dependability, power, and performance trade-off on a multicore processor. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Caroline Hagège, Xavier Tannier XTM: A Robust Temporal Text Processor. Search on Bibsonomy CICLing The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Martin Labrecque, Peter Yiannacouras, J. Gregory Steffan Scaling Soft Processor Systems. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jack Coyne, David Cyganski, R. James Duckworth FPGA-Based Co-processor for Singular Value Array Reconciliation Tomography. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Isaac Gelado, John H. Kelm, Shane Ryoo, Steven S. Lumetta, Nacho Navarro, Wen-mei W. Hwu CUBA: an architecture for efficient CPU/co-processor data communication. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF co-processors
18Wesley Alvaro, Jakub Kurzak, Jack J. Dongarra Fast and Small Short Vector SIMD Matrix Multiplication Kernels for the Synergistic Processing Element of the CELL Processor. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Catherine H. Crawford, Paul Henning, Michael Kistler, Cornell Wright Accelerating computing with the cell broadband engine processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hybrid programming models, accelerators
18Gabriel H. Loh A modular 3d processor for flexible product design and technology migration. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modular, superscalar, 3d-integration
18Sankar Gurumurthy, Ramtilak Vemu, Jacob A. Abraham, Suriyaprakash Natarajan On efficient generation of instruction sequences to test for delay defects in a processor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF native-mode self-test, delay test, software based self-test
18Francesco Abate, Massimo Violante Coping with Obsolescence of Processor Cores in Critical Applications. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Alejandro García, Oliverio J. Santana, Enrique Fernández, Pedro Medina, Mateo Valero LPA: A First Approach to the Loop Processor Architecture. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jianjun Guo, Ming-che Lai, Zhengyuan Pang, Libo Huang, Fangyuan Chen, Kui Dai, Zhiying Wang 0003 Memory System Design for a Multi-core Processor. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Tak Wah Lam, Lap-Kei Lee, Isaac Kar-Keung To, Prudence W. H. Wong Energy Efficient Deadline Scheduling in Two Processor Systems. Search on Bibsonomy ISAAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Taekyu Kim, Sin-Chong Park Arctangent Processor Design for the Frequency Offset Estimation of IEEE 802.16D Wirelessman-OFDM System. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Katsutoshi Seki, Tomoyoshi Kobori, James Okello, Masao Ikekawa A Cordic-Based Reconfigrable Systolic Array Processor for MIMO-OFDM Wireless Communications. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Gabriel Mateescu Overcoming the processor communication overhead in MPI applications. Search on Bibsonomy SpringSim (2) The full citation details ... 2007 DBLP  BibTeX  RDF
18Mehdi EffatParvar, MohammadReza EffatParvar, Akbar Bemana, Mehdi Dehghan 0001 Determining a Central Controlling Processor with Fault Tolerant Method in Distributed System. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Richard P. Kleihorst, Anteneh A. Abbo, Ben Schueler, Alexander Danilin Camera mote with a high-performance parallel processor for real-time frame-based video processing. Search on Bibsonomy AVSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yi Qian, Ang Li, Qin Wang 0004 Design and Implementation of a General Purpose Neural Network Processor. Search on Bibsonomy ISNN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Shorin Kyo, Takuya Koga, Hanno Lieske, Shouhei Nomoto, Shin'ichiro Okazaki A low-cost mixed-mode parallel processor architecture for embedded systems. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, parallel architectures, SIMD, MIMD, multimedia processing, tile architectures, mixed-mode
18Nicholas Vun, Wooi-Boon Goh The design of effective low cost embedded processor development kits for supporting take-home self-practice pedagogies. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Eryk Laskowski, Marek Tudruj Inter-processor Communication Optimization in Dynamically Reconfigurable Embedded Parallel Systems. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Liang Liu 0002, Junyan Ren, Xuejing Wang, Fan Ye 0001 Design of Low-Power, 1GS/s Throughput FFT Processor for MIMO-OFDM UWB Communication System. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Junichiro Makino, Kei Hiraki, Mary Inaba GRAPE-DR: 2-Pflops massively-parallel computer with 512-core, 512-Gflops processor chips for scientific computing. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hong Xiao, Di Wu A Component Model for Network Processor Based System. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Mitsuru Matsui, Junko Nakajima On the Power of Bitslice Implementation on Intel Core2 Processor. Search on Bibsonomy CHES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fast Software Encryption, Bitslice, KASUMI, Core2, AES
18Shuifa Sun, Sam Kwong, Bang Jun Lei, Sheng Zheng Digital Watermarking Based on Stochastic Resonance Signal Processor. Search on Bibsonomy PCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF signal processing, digital watermarking, stochastic resonance
18Seyyed-Mahmood Hosseini-Moghaddam, Mahmood Naghibzadeh A New Processor Allocation Strategy Using ESS (Expanding Square Strategy). Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ju-Ho Sohn, Jeong-Ho Woo, Jerald Yoo, Hoi-Jun Yoo Design and test of fixed-point multimedia co-processor for mobile applications. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Alokika Dash, Peter Petrov Energy-Efficient Cache Coherence for Embedded Multi-Processor Systems through Application-Driven Snoop Filtering. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yu-Hung Lee, Tzu-Hao Yu, Kuo-Ken Huang, An-Yeu Wu Rapid IP Design of Variable-length Cached-FFT Processor for OFDM-based Communication Systems. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Gi-Ho Park, Sung Woo Chung, Han-Jong Kim, Jung-Bin Im, Jung-Wook Park, Shin-Dug Kim, Sung-Bae Park Practice and Experience of an Embedded Processor Core Modeling. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Prabhu Rajamani, Jatan P. Shah, Vadhiraj Sankaranarayanan, Rama Sangireddy High performance and alleviated hot-spot problem in processor frontend with enhanced instruction fetch bandwidth utilization. Search on Bibsonomy IPCCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18ZhiLei Chai, Wenbo Xu 0001, Shi-liang Tu, Zhang-long Chen Implementing Predictable Scheduling in RTSJ-Based Java Processor. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jeesung Lee, Hanho Lee, Sang-in Cho, Sangsung Choi A high-speed, low-complexity radix-24 FFT processor for MB-OFDM UWB systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Rama Sangireddy Fast and low-power processor front-end with reduced rename logic circuit complexity. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Neil Smyth, Máire McLoone, John V. McCanny An Adaptable And Scalable Asymmetric Cryptographic Processor. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hui Wu 0001, Sridevan Parameswaran Minimising the Energy Consumption of Real-Time Tasks with Precedence Constraints on a Single Processor. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Weiwu Hu, Fuxin Zhang, Zusong Li Microarchitecture of the Godson-2 Processor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming
18Yezekael Hayel, Bruno Tuffin Pricing for Heterogeneous Services at a Discriminatory Processor Sharing Queue. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Queueing theory, Economics
18Jan-Willem van de Waerdt, Stamatis Vassiliadis, Sanjeev Das, Sebastian Mirolo, Chris Yen, Bill Zhong, Carlos Basto, Jean-Paul van Itegem, Dinesh Amirtharaj, Kulbhushan Kalra, Pedro Rodriguez, Hans Van Antwerpen The TM3270 Media-Processor. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Cyrus Bazeghi, Francisco J. Mesa-Martinez, Jose Renau uComplexity: Estimating Processor Design Effort. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Virantha N. Ekanayake, Clinton Kelly IV, Rajit Manohar BitSNAP: Dynamic Significance Compression for a Low-Energy Sensor Network Asynchronous Processor. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Kazutoshi Kobayashi, Masao Aramoto, Yoichi Yuyama, Akihiko Higuchi, Hidetoshi Onodera A resource-shared VLIW processor architecture for area-efficient on-chip multiprocessing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Chih-Pin Su, Chen-Hsing Wang, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu Design and test of a scalable security processor. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Akihito Takahashi, Stanislav Sedukhin Parallel Blocked Algorithm for Solving the Algebraic Path Problem on a Matrix Processor. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Brian F. Veale, John K. Antonio, Monte P. Tull Configuration Steering for a Reconfigurable Superscalar Processor. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Thomas Rauber, Gudula Rünger A Data-Re-Distribution Library for Multi-Processor Task Programming. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Dong-Sun Kim 0002, Hyunsik Kim, Hong-Sik Kim, Gunhee Han, Duck-Jin Chung A SIMD Neural Network Processor for Image Processing. Search on Bibsonomy ISNN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Sibin Mohan, Frank Mueller 0001, David B. Whalley, Christopher A. Healy Timing Analysis for Sensor Network Nodes of the Atmega Processor Family. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yutian Zhao, Ahmet T. Erdogan, Tughrul Arslan A novel low-power reconfigurable FFT processor. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Masayuki Masuda, Kazuhito Ito Rapid and precise instruction set evaluation for application specific processor design. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 25938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license