The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for skew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1980 (15) 1982-1987 (18) 1988-1989 (15) 1990 (20) 1991-1992 (30) 1993 (35) 1994 (35) 1995 (48) 1996 (48) 1997 (62) 1998 (42) 1999 (79) 2000 (61) 2001 (62) 2002 (75) 2003 (89) 2004 (125) 2005 (132) 2006 (146) 2007 (133) 2008 (170) 2009 (127) 2010 (117) 2011 (108) 2012 (92) 2013 (115) 2014 (133) 2015 (150) 2016 (121) 2017 (124) 2018 (128) 2019 (139) 2020 (160) 2021 (139) 2022 (148) 2023 (111) 2024 (31)
Publication types (Num. hits)
article(1800) incollection(24) inproceedings(1552) phdthesis(7)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1267 occurrences of 744 keywords

Results
Found 3383 publication records. Showing 3383 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Yihong Wu 0002, Youfu Li, Zhanyi Hu Easy Calibration for Para-catadioptric-like Camera. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Anand Rajaram, David Z. Pan Variation tolerant buffered clock network synthesis with cross links. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
15Chia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao Coupling aware RLC-based clock routings for crosstalk minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Sitt Tontisirin, Reinhard Tielert A Gb/s one-fourth-rate CMOS CDR circuit without external reference clock. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Amir H. Ajami, Kaustav Banerjee, Massoud Pedram Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Egon Schulte Chiral Polyhedra in Ordinary Space, II. Search on Bibsonomy Discret. Comput. Geom. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jianping Zhou, Minh N. Do, Jelena Kovacevic Multidimensional orthogonal filter bank characterization and design using the Cayley transform. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Muhammad Sarfraz 0001, S. A. Shahab An Efficient Scheme for Tilt Correction in Arabic OCR System. Search on Bibsonomy CGIV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kenji Imasaki, Sivarama P. Dandamudi A New Join Algorithm for Cluster-Based Database Systems. Search on Bibsonomy ISPDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Juang-Ying Chueh, Marios C. Papaefthymiou, Conrad H. Ziesler Two-Phase Resonant Clock Distribution. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Scott Fairbanks, Simon W. Moore Self-Timed Circuitry for Global Clocking. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Hao-Yueh Hsieh, Ting-Chi Wang Simple yet effective algorithms for block and I/O buffer placement in flip-chip design. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Min Pan, Chris C. N. Chu, Hai Zhou 0001 Timing yield estimation using statistical static timing analysis. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Huanliang Sun, Ge Yu 0001, Yubin Bao, Faxin Zhao, Daling Wang CDS-Tree: An Effective Index for Clustering Arbitrary Shapes in Data Streams. Search on Bibsonomy RIDE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Navigating registers in placement for clock network minimization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, placement, clock network, variation tolerance
15U. Pal 0001, Nilamadhaba Tripathy Recognition of Indian Multi-oriented and Curved Text. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Faisal Farooq, Venu Govindaraju, Michael P. Perrone Pre-processing Methods for Handwritten Arabic Documents. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Egon Schulte Chiral Polyhedra in Ordinary Space, I. Search on Bibsonomy Discret. Comput. Geom. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Kathie Cameron, Elaine M. Eschen, Chính T. Hoàng, R. Sritharan The list partition problem for graphs. Search on Bibsonomy SODA The full citation details ... 2004 DBLP  BibTeX  RDF
15Erland Nilsson, Johnny Öberg Reducing power and latency in 2-D mesh NoCs using globally pseudochronous locally synchronous clocking. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hot-potato, pseudochronous, network on chip, mesh, clocking, GALS, GPLS
15Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja A yield improvement methodology using pre- and post-silicon statistical clock scheduling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Aaron P. Hurst, Philip Chong, Andreas Kuehlmann Physical placement driven by sequential timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Yukiko Kubo, Hiroshi Miyashita, Yoji Kajitani, Kazuyuki Tateishi Equidistance routing in high-speed VLSI layout design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF box routing, equidistance routing, rectilinear route, slant symmetric grid, dynamic programming, VLSI system, channel routing
15T. J. Thorp, G. S. Yee, Carl M. Sechen Design and synthesis of dynamic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ajanta Chakraborty, Mark R. Greenstreet Efficient Self-Timed Interfaces for Crossing Clock Domains. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ho-Hyun Park On Estimating Result Sizes of Multi-way Spatial Joins. Search on Bibsonomy ICCSA (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Frank O'Mahony, C. Patrick Yue, Mark Horowitz, S. Simon Wong Design of a 10GHz clock distribution network using coupled standing-wave oscillators. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed oscillators, on-chip phase measurement, resonant clocking, salphasic, standing wave, clock distribution, coupled oscillators
15Kang-Won Lee, Khalil Amiri, Sambit Sahu, Chitra Venkatramani On the sensitivity of cooperative caching performance to workload and network characteristics. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Stefan Lund, Lars Bengtsson Synchronizing a High-Speed SIMD Processor Array. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Baiying Yu, William C. Black Jr. Error analysis for time-interleaved analog channels. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Hong-Yean Hsieh, Wentai Liu, Ralph K. Cavin III Integrated parametric timing optimization of digital systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Mely Chen Chi, Shih-Hsu Huang A Reliable Clock Tree Design Methodology for ASIC Designs. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Clock tree design, Clock tree synthesis
15Vikas Mehrotra, Shiou Lin Sam, Duane S. Boning, Anantha P. Chandrakasan, Rakesh Vallishayee, Sani R. Nassif A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Jae-Tack Yoo, Ganesh Gopalakrishnan, Kent F. Smith Timing constraints for high-speed counterflow-clocked pipelining. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Mustafa Celik, Lawrence T. Pileggi Metrics and bounds for phase delay and signal attenuation in RC(L)clock trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15J. Bruce Millar, Peter Gillingham Two High-Bandwidth Memory Bus Structures. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF SLDRAM, Direct Rambus, DRAM, memory design
15Soo-Chang Pei, Ji-Hwei Horng A moment-based approach for deskewing rotationally symmetric shapes. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Hamid K. Aghajan, Babak Hossein Khalaj, Thomas Kailath Estimation of multiple 2-D uniform motions by SLIDE: subspace-based line detection. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jeong-Ki Kim, Jae-Woo Chang VPSF: A Parallel Signature File Technique Using Vertical Partitioning and Extendable Hashing. Search on Bibsonomy DEXA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Tyler Thorp, Gin Yee, Carl Sechen Design and Synthesis of Monotonic Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Matthew E. Becker, Thomas F. Knight Jr. Transmission Line Clock Driver. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Josef Schmid, Joachim Knäblein Advanced Synchronous Scan Test Methodology for Multi Clock Domain ASICs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Anil K. Jain 0001, Bin Yu 0002 Document Representation and Its Application to Page Decomposition. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF document storage and retrieval, region identification, document image analysis, Document model, page segmentation
15Chandrajit L. Bajaj, Robert J. Holt, Arun N. Netravali Rational Parametrizations of Nonsingular Real Cubic Surfaces. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cubic surface modeling, dual form representations, numeric and symbolic computation, rational parametrization, graphics display
15Vern Paxson On Calibrating Measurements of Packet Transit Times. Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Takahiko Shintani, Masaru Kitsuregawa Parallel Mining Algorithms for Generalized Association Rules with Classification Hierarchy. Search on Bibsonomy SIGMOD Conference The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Kunihiro Fujiyoshi, Yoji Kajitani, Hiroshi Niitsu Design of minimum and uniform bipartites for optimum connection blocks of FPGA. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Ashok Vittal, Malgorzata Marek-Sadowska Low-power buffered clock tree design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Keith M. Carrig, Albert M. Chu, Frank D. Ferraiolo, John G. Petrovick, P. Andrew Scott, Richard J. Weiss A Clock Methodology for High-Performance Microprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Yuzhong Sun, Zhiwei Xu 0002, Mingfa Zhu A Hybrid Time Synchronization Implemented Through Special Ring Array for Mesh or Torus. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Les Hall, Mark Clements, Wentai Liu, Griff L. Bilbro Clock Distribution Using Cooperative Ring Oscillators. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Ravichandran Ramachandran, Shih-Lien Lu Efficient arithmetic using self-timing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Post-processing of clock trees via wiresizing and buffering for robust design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Bin Yu 0002, Anil K. Jain 0001 A Generic System for Form Dropout. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF learning form structure, character reconstruction, block adjacency graph, segmentation, document image analysis, Form processing
15Guy Even, Ami Litman Overcoming chip-to-chip delays and clock skews. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF chip-to-chip delays, chip-to-chip interconnections, feasible clock period, large systolic linear arrays, systolic two-dimensional arrays, logic duplication, delays, logic design, systolic arrays, systolic array, functionality, retiming, clock skews
15Bernard A. McCoy, Gabriel Robins Non-tree routing [VLSI layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15C. Thomas Gray, Wentai Liu, Ralph K. Cavin III Timing constraints for wave-pipelined systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Scott T. Leutenegger, Daniel M. Dias A Modeling Study of the TPC-C Benchmark. Search on Bibsonomy SIGMOD Conference The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15Raimo Ylinen Polynominal Systems Theory for n-D Systems Applied to Vision-Based Control. Search on Bibsonomy EUROCAST The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15S. Seshadri, Jeffrey F. Naughton Sampling Issues in Parallel Database Systems. Search on Bibsonomy EDBT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Kien A. Hua, Chiang Lee, Jih-Kwon Peir A high performance hybrid architecture for concurrent query execution. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Michal Botur, Ivan Chajda, Radomír Halas Are basic algebras residuated structures? Search on Bibsonomy Soft Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Basic algebra, Commutative basic algebra, Residuated groupoid, Skew adjointness property, Residuated lattice
14Markus Diem, Florian Kleber, Robert Sablatnig Document analysis applied to fragments: feature set for the reconstruction of torn documents. Search on Bibsonomy Document Analysis Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF document reconstruction, skew, layout analysis
14Kees Roos Farkas Lemma. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Theorem of the alternative, Skew-symmetric matrix, Certificate, Orthogonal matrix, Inequality systems
14Chien Pang Lu, Mango Chia-Tso Chao, Chen Hsing Lo, Chih-Wei Chang A metal-only-ECO solver for input-slew and output-loading violations. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF input skew violation, output loading, buffer insertion, eco
14Kazi Md. Abdullah Al Mamun, Gyanendra Prasad Joshi, Sung Won Kim Next Generation Delay and Performance Measuring Algorithm for an Overall Network. Search on Bibsonomy NCM (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance statistics, quality of service, clock skew, error rate, Delay measurement
14Haewon Choi, ByungRae Cha, Kyungjun Kim Energy Efficient Location-Based Clustering for Skewed-Topology Wireless Sensor Networks. Search on Bibsonomy GPC Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, wireless sensor network, topology, location, skew
14Tom Fawcett, Alexandru Niculescu-Mizil PAV and the ROC convex hull. Search on Bibsonomy Mach. Learn. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Classifier calibration, Class skew, Classification, ROC
14Abhishek Tiwari 0002, Smruti R. Sarangi, Josep Torrellas ReCycle: : pipeline adaptation to tolerate process variation. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF pipeline, process variation, clock skew
14Tom Fawcett, Peter A. Flach A Response to Webb and Ting's On the Application of ROC Analysis to Predict Classification Performance Under Varying Class Distributions. Search on Bibsonomy Mach. Learn. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF classifier evaluation, class skew, classification, ROC
14Michael Manzo, Tanya G. Roosta, Shankar Sastry 0001 Time synchronization attacks in sensor networks. Search on Bibsonomy SASN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clock drift and skew, security, sensor network, authentication, time-synchronization
14Bruno Tenório Ávila, Rafael Dueire Lins, Lamberto Oliveira A new rotation algorithm for monochromatic images. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2005 DBLP  DOI  BibTeX  RDF monochromatic image rotation, skew correction
14Mark Brehob, Stephen Wagner, Eric Torng, Richard J. Enbody Optimal Replacement Is NP-Hard for Nonstandard Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimal cache replacement policy, interval scheduling, skew cache, multilateral cache, approximation algorithm, Cache, victim cache
14Anders Edman, Christer Svensson Timing closure through a globally synchronous, timing partitioned design methodology. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wire delays, clock skew, timing closure
14Tom Fawcett "In vivo" spam filtering: a challenge problem for KDD. Search on Bibsonomy SIGKDD Explor. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF challenge problems, class skew, data streams, text classification, spam, cost-sensitive learning, concept drift, imbalanced data
14Peter Benner, Ralph Byers, Eric Barth Algorithm 800: Fortran 77 subroutines for computing the eigenvalues of Hamiltonian matrices. I: the square-reduced method. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF (square-reduced), Hamiltonian matrix, algebraic Riccati equation, skew-Hamiltonian matrix, eigenvalues, FORTRAN 77
14Masahiko Toyonaga, Keiichi Kurokawa, Takuya Yasui, Atsushi Takahashi 0001 A practical clock tree synthesis for semi-synchronous circuits. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF clock-input timing, environmental and manufacturing conditions, semi-synchronous, various timing clock tree, zero skew clock tree, clock scheduling
14Ayoob E. Dooply, Kenneth Y. Yun Optimal Clocking and Enhanced Testability for High-Performance Self-Resetting Domino Pipelines. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Self-resetting domino, time borrowing, roadblock, skew tolerance design-for-testability, scan register, multiple stuck fault
14Ahmed El-Amawy, Priyalal Kulasinghe On the Complexity of Designing Optimal Branch-and-Combine Clock Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF skew bound, branch-and-combine network, graph orientation, computational complexity, optimal design, Clock network
14David L. Harris, Stuart F. Oberman, Mark Horowitz SRT Division Architectures and Implementations. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF skew-tolerant, Computer arithmetic, floating point units, SRT division, domino circuits
14R. Safari, N. Narasimhamurthi, Malayappan Shridhar, Majid Ahmadi Form Registration: A Computer Vision Approach. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Line and Point Correspondence, Forms, Affine Invariants, Point Pattern Matching, Skew Correction
14Hongjun Lu, Kian-Lee Tan On Sort-Merge Algorithm for Band Joins. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Band join algorithms, data skew handling, buffer allocation, database query processing
14Vernon L. Chi Salphasic Distribution of Clock Signals for Synchronous Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF transmission line theory, loaded transmission line, printed circuit board clock planes, clock plane, phase skew, salphasic clock, synchronisation, clocks, distribution network, clock skews, synchronous systems, synchronous system, propagation delay, system clock, phase shifts, clock signals, clock signal
14Daniel L. Palumbo The Derivation and Experimental Verification of Clock Synchronization Theory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF clock synchronization theory, Interactive Convergence Clock Synchronization Algorithm, Mid-Point Algorithm, clock circuitry, operating conditions, worst case failures, experimental verification, formal methods, formal verification, synchronisation, clock synchronization, clock skew, byzantine failure, proof of correctness, failure modes, timing circuits, malicious failures
14M. V. Ramakrishna, Edgar A. Ramos Optimal Distribution of Signatures in Signature Hashing. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF signature hashing, external files, single access retrieval, skew distribution, optimisation, database theory, optimization problem, file organisation, asymptotic analysis, load factor
14Arthur F. Champernowne, Louis B. Bushard, John T. Rusterholz, John R. Schomburg Latch-to-Latch Timing Rules. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF latch-to-latch timing rules, consecutive latch pairs, multiple skew levels, data propagation delays, multiple clock pulse widths, clock phases, logic design, synchronous systems, combinational logic, propagation delay
14Stephen H. Unger, Chung-Jen Tan Clocking Schemes for High-Speed Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF clock pulses, edge-triggered flip-flops, edge tolerances, one-phase clocking, delays, timing, Clocking, digital systems, skew, latches, synchronous circuits
12Ajit Kumar, Sudhir Kumar 0002 Joint Clock Offset and Skew Estimation Based on Correlated Propagation Delays in Internet of Bio-Nano Things. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Hamidreza Mafi, Naim Ben-Hamida, Sadok Aouini, Yvon Savaria Digital Compensation of Timing Skew Mismatches in Time-Interleaved ADCs by Source Separation. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Dengquan Li, Longsheng Wang, Yi Shen 0007, Shubin Liu, Zhangming Zhu A Background Timing Skew Calibration for Time-Interleaved ADCs Based on Frequency Fitness Genetic Algorithm. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Oguzhan Dogru, Ranjith Chiplunkar, Biao Huang 0001 Skew Filtering for Online State Estimation and Control. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Panpan Niu, Fei Wang, Xiangyang Wang 0001 SVD-UDWT Difference Domain Statistical Image Watermarking Using Vector Alpha Skew Gaussian Distribution. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Li Dang, Shubin Liu, Ruixue Ding, Yi Shen 0007, Zhangming Zhu A High Accuracy and Bandwidth Digital Background Calibration Technique for Timing Skew in TI-ADCs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Lixia Cao, Cong Wang, Tonghui Wang, David Trafimow The APP for estimating population proportion based on skew normal approximations and the Beta-Bernoulli process. Search on Bibsonomy Commun. Stat. Simul. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Haozhan Meng, X. Rong Li, Vesselin P. Jilkov Discrete-time linear skew-Gaussian system and its recursive fixed-dimensional exact density filtering. Search on Bibsonomy Autom. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Hannes Bartz, Thomas Jerkovits, Johan Rosenkilde Fast Kötter-Nielsen-Høholdt interpolation over skew polynomial rings and its application in coding theory. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Felicitas Hörmann, Hannes Bartz Interpolation-based decoding of folded variants of linearized and skew Reed-Solomon codes. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Xiaonan Zhu, Zheng Wei, Tonghui Wang, S. T. Boris Choy, Ziwei Ma An expectation conditional maximization algorithm for the skew-normal based stochastic frontier model. Search on Bibsonomy Comput. Stat. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Chao Shang, Jin Cao, Tong Zhu, Yinghui Zhang 0002, Ben Niu 0001, Hui Li 0006 CADFA: A Clock Skew-Based Active Device Fingerprint Authentication Scheme for Class-1 IoT Devices. Search on Bibsonomy IEEE Syst. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Salvatore D. Tomarchio, Luca Bagnato, Antonio Punzo Model-based clustering using a new multivariate skew distribution. Search on Bibsonomy Adv. Data Anal. Classif. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 3383 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license