The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wiring with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1973 (15) 1974-1978 (16) 1979-1981 (15) 1982-1985 (16) 1986-1987 (15) 1988-1989 (27) 1990 (17) 1991-1992 (24) 1993-1994 (27) 1995 (23) 1996 (20) 1997 (15) 1998 (57) 1999 (34) 2000 (41) 2001 (30) 2002 (41) 2003 (41) 2004 (43) 2005 (37) 2006 (63) 2007 (55) 2008 (40) 2009 (24) 2010 (16) 2011-2012 (20) 2013-2014 (30) 2015-2016 (25) 2017-2018 (24) 2019-2020 (23) 2021 (20) 2022 (20) 2023 (18) 2024 (6)
Publication types (Num. hits)
article(323) incollection(7) inproceedings(603) phdthesis(4) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 553 occurrences of 391 keywords

Results
Found 938 publication records. Showing 938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Ikuo Nishioka, Takuji Kurimoto, Seiji Yamamoto, Toru Chiba, Isao Shirakawa, Hiroshi Ozaki An Approach to Gate Assignment and Module Placement for Printed Wiring Boards. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
17John F. Jarvis A Method for Automating the Visual Inspection of Printed Wiring Boards. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
17Shuji Tsukiyama, Ernest S. Kuh, Isao Shirakawa On the layering problem of multilayer PWB wiring. Search on Bibsonomy Graph Theory and Algorithms The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
17Ikuo Nishioka, Takuji Kurimoto, Hisao Nishida, Seiji Yamamoto, Toru Chiba, Toshiaki Nagakawa, Takatsugu Fujioka, Masashi Uchino An automatic routing system for high density multilayer printed wiring boards. Search on Bibsonomy DAC The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
17Frank D. Skinner Interactive wiring system. Search on Bibsonomy DAC The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
17Larry Krakauer, Theo Pavlidis Visual printed wiring board fault detection by a geometrical method. Search on Bibsonomy COMPSAC The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
17Pao Tsin Wang, Paul Bassett A software system for Automated Placement And Wiring of LSI chips. Search on Bibsonomy DAC The full citation details ... 1979 DBLP  BibTeX  RDF
17John C. Foster A "lookahead" router for multilayer printed wiring boards. Search on Bibsonomy DAC The full citation details ... 1979 DBLP  BibTeX  RDF
17Ken-ichi Sahara, Ken-ichi Kobori, Ikuo Nishioka An interactive layout system of analog printed wiring boards. Search on Bibsonomy DAC The full citation details ... 1979 DBLP  BibTeX  RDF
17Marvin A. Sirbu Jr., David Twyver, David Willard, John F. Shoch, Richard Strindmo Alternative Approaches To Wiring The Office Of The Future (Panel Discussion). Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
17Marvin A. Sirbu Jr., Victor A. DeMarines, David Willard Alternative Approaches To Wiring The Office Of The Future (Panel Discussion). Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
17Marvin A. Sirbu Jr., John F. Shoch Alternative Approaches To Wiring The Office Of The Future (Panel Discussion). Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  BibTeX  RDF
17Ning Nan, Michael Feuer A method for the automatic wiring of LSl chips. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1978 DBLP  BibTeX  RDF
17Ikuo Nishioka, Takuji Kurimoto, Seiji Yamamoto, Isao Shirakawa, Hiroshi Ozaki An approach to gate assignment and module placement for printed wiring boards. Search on Bibsonomy DAC The full citation details ... 1978 DBLP  BibTeX  RDF
17K. A. Chen, Michael Feuer, K. H. Khokhani, Ning Nan, S. Schmidt The chip layout problem: An automatic wiring procedure. Search on Bibsonomy DAC The full citation details ... 1977 DBLP  BibTeX  RDF
17William R. Heller, W. F. Michail, Wilm E. Donath Prediction of wiring space requirements for LSI. Search on Bibsonomy DAC The full citation details ... 1977 DBLP  BibTeX  RDF
17Ikuo Nishioka, Takuji Kurimoto, Hisao Nishida A minicomputerized automatic layout system for two-layer printed wiring boards. Search on Bibsonomy DAC The full citation details ... 1977 DBLP  BibTeX  RDF
17Yasuhiro Ikemoto, Toshiki Sugiyama, Kenichi Igarashi, Hiroshi Kano Correction and wiring check-system for master-slice LSI. Search on Bibsonomy DAC The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
17R. N. Piscatelli, P. Tingleff A solution to closeness checking of non-orthogonal printed circuit board wiring. Search on Bibsonomy DAC The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
17Martin J. Welt NOMAD: A printed wiring board layout system. Search on Bibsonomy DAC The full citation details ... 1975 DBLP  BibTeX  RDF
17Judith G. Brinsfield, S. R. Tarrant Computer aids for multilayer printed wiring board design. Search on Bibsonomy DAC The full citation details ... 1975 DBLP  BibTeX  RDF
17William H. Kautz Testing for Faults in Wiring Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
17R. L. Calafiore, John C. Foster A system for multilayer printed wiring layout. Search on Bibsonomy DAC The full citation details ... 1974 DBLP  BibTeX  RDF
17C. S. Slemaker, Richard C. Mosteller, L. W. Leyking, A. G. Livitsanos A programmable printed-wiring router. Search on Bibsonomy DAC The full citation details ... 1974 DBLP  BibTeX  RDF
17Michio Tokunaga, Tooru Kurosaki, Mitsuyuki Masui, Chikai Li, Fujio Otake WIDAS - Wiring Diagram Assembly System. Search on Bibsonomy DAC The full citation details ... 1973 DBLP  BibTeX  RDF
17John C. Foster A router for multilayer printed wiring backplanes. Search on Bibsonomy DAC The full citation details ... 1973 DBLP  BibTeX  RDF
17Hing-Cheung So Pin assignment of circuit cards and the routability of multilayer printed wiring backplanes. Search on Bibsonomy DAC The full citation details ... 1973 DBLP  BibTeX  RDF
17Luther C. Abel On the Automated Layout of Multi-Layer Planar Wiring and a Related Graph Coloring Problem Search on Bibsonomy 1972   RDF
17Stephen J. Urban, Neil C. Randall, Thomas J. Harley System for Heuristic and Rapid Processing of Component Layout and Wiring (SHARPCLAW). Search on Bibsonomy ACM Annual Conference The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
17Timothy J. Kriewall, N. R. Miller CIBOL - an interactive graphics program used in the design of printed wiring boards and generation of associated artmasters. Search on Bibsonomy DAC The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
17Yoshiaki Koga A checking method of wiring. Search on Bibsonomy DAC The full citation details ... 1970 DBLP  DOI  BibTeX  RDF
17Robert B. Hitchcock Sr. Cellular wiring and the cellular modeling technique. Search on Bibsonomy DAC The full citation details ... 1969 DBLP  DOI  BibTeX  RDF
17Gerald L. Ginsberg, Calvin R. Maurer Jr., E. H. Whitley An updated multilayer printed wiring C-A-D capability. Search on Bibsonomy DAC The full citation details ... 1969 DBLP  DOI  BibTeX  RDF
17Murray F. Freeman, Allen Ginsberg, Mark Resnick, E. H. Whitley Multilayer printed wiring - computer aided design. Search on Bibsonomy DAC The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
17Donald L. Richards SWAP - a programming system for automatic simulation, wiring and placement of logical equations. Search on Bibsonomy DAC The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
17Tomaso Pomentale An algorithm for minimizing backboard wiring functions. Search on Bibsonomy Commun. ACM The full citation details ... 1965 DBLP  DOI  BibTeX  RDF
17D. K. Frayne Three levels of the wiring interconnection problem. Search on Bibsonomy DAC The full citation details ... 1965 DBLP  DOI  BibTeX  RDF
17Nelson M. Blachman On the Wiring of Two-Dimensional Multiple-Coincidence Magnetic Memories. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1956 DBLP  DOI  BibTeX  RDF
9Hua Xiang 0001, Haoxing Ren, Louise Trevillyan, Lakshmi N. Reddy, Ruchir Puri, Minsik Cho Logical and physical restructuring of fan-in trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF symmetric-function fan-in tree, restructure, commutative
9Tanja Döring, Bastian Pfleging, Christian Kray, Albrecht Schmidt 0001 Design by physical composition for complex tangible user interfaces. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3d printing, dialog independence, evolutionary prototyping, physical prototyping, smart products, tangible user interfaces, physical interfaces, paper prototyping
9Yoichi Ochiai The visible electricity device: visible breadboard. Search on Bibsonomy SIGGRAPH Posters The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
9Jin-Tai Yan, Zhi-Wei Chen Resource-constrained timing-driven link insertion for critical delay reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF critical delay, link insertion, non-tree
9Xin-Wei Shih, Yao-Wen Chang Fast timing-model independent buffered clock-tree synthesis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
9Xiaoqing Yang, Tak-Kei Lam, Yu-Liang Wu ECR: a low complexity generalized error cancellation rewiring scheme. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF error cancellation, ATPG, rewire
9Xiang Zhang, Ahmed Louri A multilayer nanophotonic interconnection network for on-chip many-core communications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, CMP, 3D, silicon photonics
9Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li 0001, Gi-Joon Nam, Charles B. Winn Detecting tangled logic structures in VLSI netlists. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion prediction, rent rule, tangled logic, clustering
9Brett Feero, Partha Pratim Pande Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Mariko Kato, Naoki Saiwaki Design of Wearable Interface Considering Touch Communications. Search on Bibsonomy HCI (8) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Touch Communication, Wearable Interface
9Srinath Sridharan, Michael DeBole, Guangyu Sun 0003, Yuan Xie 0001, Vijaykrishnan Narayanan A criticality-driven microarchitectural three dimensional (3D) floorplanner. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Suk-Bok Lee, Sai-Wang Tam, Ioannis Pefkianakis, Songwu Lu, M. Frank Chang, Chuanxiong Guo, Glenn Reinman, Chunyi Peng 0001, Mishali Naik, Lixia Zhang 0001, Jason Cong A scalable micro wireless interconnect structure for CMPs. Search on Bibsonomy MobiCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip wireless interconnection network, chip multiprocessors
9Igor Dantas dos Santos Miranda, Ana Isabela Araújo Cunha ASIC design of a novel high performance neuroprocessor architecture for multi layered perceptron networks. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neural network arithmetic, neuroprocessor, ASIC
9Danny Hughes 0001, Klaas Thoelen, Wouter Horré, Nelson Matthys, Javier del Cid, Sam Michiels, Christophe Huygens, Wouter Joosen LooCI: a loosely-coupled component infrastructure for networked embedded systems. Search on Bibsonomy MoMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF binding models, wireless sensor networks, publish-subscribe, component models
9Susanne Seitinger, Daniel S. Perry, William J. Mitchell 0001 Urban pixels: painting the city with light. Search on Bibsonomy CHI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF urban display, ubiquitous computing, interaction design, lighting, urban computing, ambient media
9Ling Wang 0004, Jianwen Zhang, Xiaoqing Yang, Dongxin Wen Router with centralized buffer for network-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF centralized buffer, architecture, router, NoC
9Eric Becker, Zhengyi Le, Kyungseo Park, Yong Lin, Fillia Makedon Event-based experiments in an assistive environment using wireless sensor networks and voice recognition. Search on Bibsonomy PETRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wireless sensor networks, robotics, pervasive computing, assistive environments
9Dacian Tudor, Andrei Stancovici, Bogdan Popescu, Vladimir Cretu, Gernot Reisinger Zombee: a home automation prototype for retrofitted environments. Search on Bibsonomy PETRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wireless communications, ZigBee, home automation
9Dutta Gupta Tirtho, Liuchen Chang Web based remote operations on inverters. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Chung-Hsien Kuo, Kuo-Wei Chiou Tendon Based Full Size Biped Humanoid Robot Walking Platform Design. Search on Bibsonomy FIRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF tendon driven mechanism, gait planning, biped humanoid robots, embedded controller
9Andreas Raabe, Rastislav Bodík Synthesizing hardware from sketches. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sketching
9Aleks Kissinger Exploring a Quantum Theory with Graph Rewriting and Computer Algebra. Search on Bibsonomy Calculemus/MKM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Ian Culverhouse, Steve Gill Bringing concepts to life: introducing a rapid interactive sketch modelling toolkit for industrial designers. Search on Bibsonomy TEI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF common RFiD antenna, low fidelity, rapid iteration, RFiD, interactive prototyping
9Michele Migliore, Gordon M. Shepherd Dendritic action potentials connect distributed dendrodendritic microcircuits. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Olfactory processing, Mitral cells, Granule cells, Modeling
9Miriam J. Akl, Magdy A. Bayoumi Transition Skew Coding for Global On-Chip Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Nick McKeown, Thomas E. Anderson, Hari Balakrishnan, Guru M. Parulkar, Larry L. Peterson, Jennifer Rexford, Scott Shenker, Jonathan S. Turner OpenFlow: enabling innovation in campus networks. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ethernet switch, flow-based, virtualization
9Mark E. Hazen The Technology Behind HomePlug AV Powerline Communications. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Janneke F. M. Jehee, Jaap M. J. Murre The scalable mammalian brain: emergent distributions of glia and neurons. Search on Bibsonomy Biol. Cybern. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Comparative neuroanatomy, Glia-to-neuron index, Neuron number
9Heikki Huttunen, Pekka Ruusuvuori, Tapio Manninen, Kalle Rutanen, Risto Rönkkä, Ari Visa Object detection for dynamic adaptation of interconnections in inkjet printed electronics. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Kees Goossens, Martijn T. Bennebroek, Jae Young Hur, Muhammad Aqeel Wahlah Hardwired Networks on Chip in FPGAs to Unify Functional and Con?guration Interconnects. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Kyu-Jin Cho, Elliot Wright Hawkes, Chris Quinn, Robert J. Wood Design, fabrication and analysis of a body-caudal fin propulsion system for a microrobotic fish. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Mohammad Hosseinabady, Mohammad Reza Kakoee, Jimson Mathew, Dhiraj K. Pradhan De Bruijn Graph as a Low Latency Scalable Architecture for Energy Efficient Massive NoCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Paul Gratz, Boris Grot, Stephen W. Keckler Regional congestion awareness for load balance in networks-on-chip. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Christian Stamber, Sebastian Stein 0002, Marwane El Kharbili Prototypical Implementation of a Pragmatic Approach to Semantic Web Service Discovery during Process Execution. Search on Bibsonomy BIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF semantic web service, BPEL, web service discovery, business process automation
9Kazuya Tanigawa, Tetsuya Zuyama, Takuro Uchida, Tetsuo Hironaka Exploring compact design on high throughput coarse grained reconfigurable architectures. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hironori Hibino, Yoshiro Fukuda Emulation in manufacturing engineering processes. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Georgios Smaragdakis, Vassilis Lekakis, Nikolaos Laoutaris, Azer Bestavros, John W. Byers, Mema Roussopoulos EGOIST: overlay routing using selfish neighbor selection. Search on Bibsonomy CoNEXT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF overlay network creation and maintenance, selfish neighbor selection, overlay routing
9Crispín Gómez Requena, Francisco Gilabert Villamón, María Engracia Gómez, Pedro Juan López Rodríguez, José Duato RUFT: Simplifying the Fat-Tree Topology. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Crispín Gómez Requena, María Engracia Gómez, Pedro Juan López Rodríguez, José Duato An Efficient Switching Technique for NoCs with Reduced Buffer Requirements. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Takahiro Endo, Yuki Kawachi, Haruhisa Kawasaki, Tetsuya Mouri FPGA-Based Control for the Wire-Saving of Five-Fingered Haptic Interface. Search on Bibsonomy EuroHaptics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wire-saving control system, FPGA, Haptic interface
9Shan Yan, Bill Lin 0001 Design of application-specific 3D Networks-on-Chip architectures. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Anuj Kumar, Tai-Hsuan Wu, Azadeh Davoodi SynECO: Incremental technology mapping with constrained placement and fast detail routing for predictable timing improvement. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Thomas J. Sullivan, Stephen R. Deiss, Tzyy-Ping Jung, Gert Cauwenberghs A brain-machine interface using dry-contact, low-noise EEG sensors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Lei Zhang, Fei Zhou, Yaping Qian Develop of the Blast Furnace Soft Water Temperature Measurement System. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl Considering possible opens in non-tree topology wire delay calculation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree topologies, yield, static timing analysis, delay analysis
9Abdelfatteh Haidine, Ralf Lehnert Placement of Base Stations in Broadband Power Line Communications Access Networks by Means of Multi-criteria Optimization. Search on Bibsonomy AccessNets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF uplink delay, Broadband Power-Line Communications (B-PLC), access network planning, generalized base station placement, multi-criteria optimization, network costs
9Hiroyuki Torikai, Sho Hashimoto A hardware-oriented learning algorithm for a digital spiking neuron. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Alexander D. Rast, Shufan Yang, Muhammad Mukaram Khan, Stephen B. Furber Virtual synaptic interconnect using an asynchronous network-on-chip. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Conrad Attard, Andreas Alexander Albrecht On axon delay functions and spiking activity. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Markus Prossegger, Abdelhamid Bouchachia Ant colony optimization for Steiner tree problems. Search on Bibsonomy CSTST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Steiner tree optimization, parallel ant colony optimization, spectral clustering
9Pradeep Fernando, Srinivas Katkoori An Elitist Non-Dominated Sorting Based Genetic Algorithm for Simultaneous Area and Wirelength Minimization in VLSI Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Dong-Yu Zheng, Yan Sun, Shao-Qing Li, Liang Fang A 485ps 64-Bit Parallel Adder in 0.18mum CMOS. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF semi-dynamic, sparse-tree, parallel prefix adder
9Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF semiconductor technology, LED technology, herd computing, wireless technology, spyware
9Srinivasan Murali, Luca Benini, Giovanni De Micheli An Application-Specific Design Methodology for On-Chip Crossbar Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane BMSYN: Bus Matrix Communication Architecture Synthesis for MPSoC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9William S. Anderson, Pawel Kudela, Jounhong Cho, Gregory K. Bergey, Piotr J. Franaszczuk Studies of stimulus parameters for seizure disruption using neural network simulations. Search on Bibsonomy Biol. Cybern. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Dwayne Folden, Trent Jackson, Michael Panique, Rianon Tiensvold, Richard S. Wolff, Todd Howard, Eric Julian, Levi Junkert, David López, Michael J. Oudshoorn An aircraft cabin wireless system for games and video entertainment. Search on Bibsonomy Comput. Entertain. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless networks, mobile devices, computer architecture, computer games
9Christian Van der Velden, Cees Bil, Xinghuo Yu 0001, Adrian Smith An intelligent system for automatic layout routing in aerospace design. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Knowledge based engineering, Routing, Computer aided design (CAD), Intelligent systems, Design automation
9S. E. C. Crouch, James A. Davis, Jonathan Jedwab The Design of the IEEE 802.12 Coding Scheme. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shinya Toji, Minoru Uehara, Hideki Mori Design of the Tile-Based Embedded Multimedia Processor -TEMP-. Search on Bibsonomy NBiS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Tile-Based Processor, Embedded System
9Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman 0001, Parthasarathi Dasgupta Minimum-Congestion Placement for Y-interconnects: Some studies and observations. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Makoto Ishitsuka, Kazuo Ishii Modularity development and control of an underwater manipulator for AUV. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license