The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subthreshold with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (20) 1995-1998 (15) 1999-2000 (19) 2001 (25) 2002 (23) 2003 (26) 2004 (41) 2005 (53) 2006 (76) 2007 (76) 2008 (62) 2009 (59) 2010 (46) 2011 (40) 2012 (47) 2013 (38) 2014 (36) 2015 (42) 2016 (42) 2017 (42) 2018 (42) 2019 (43) 2020 (36) 2021 (27) 2022 (27) 2023 (27) 2024 (4)
Publication types (Num. hits)
article(472) incollection(7) inproceedings(551) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 310 occurrences of 197 keywords

Results
Found 1034 publication records. Showing 1034 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Jennifer Blain Christen, Andreas G. Andreou A Self-Biased Operational Transconductance Amplifier in 0.18 micron 3D SOI-CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Volkan Kursun, Sherif A. Tawfik, Zhiyu Liu Leakage-Aware Design of Nanometer SoC. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Paulo F. Butzen, André Inácio Reis, Chris H. Kim, Renato P. Ribas Modeling and estimating leakage current in series-parallel CMOS networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage current modeling, static power dissipation, CMOS gates
10Nigel Drego, Anantha P. Chandrakasan, Duane S. Boning A Test-Structure to Efficiently Study Threshold-Voltage Variation in Large MOSFET Arrays. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Byunghee Choi, Youngsoo Shin Lookup Table-Based Adaptive Body Biasing of Multiple Macros. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Kazuki Nakada, Keiji Miura, Hatsuo Hayashi Theoretical Analysis of Synchronization Phenomena in Two Pulse-Coupled Resonate-and-Fire Neurons. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Saraju P. Mohanty, Elias Kougianos Simultaneous Power Fluctuation and Average Power Minimization during Nano-CMOS Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jyi-Tsong Lin, Yi-Chuen Eng, Tai-Yi Lee, Kao-Cheng Lin Analysis of Si-body thickness variation for a new 40 nm gate length bFDSOI. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yuanlin Lu, Vishwani D. Agrawal Statistical Leakage and Timing Optimization for Submicron Process Variation. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jie Gu 0003, Sachin S. Sapatnekar, Chris H. Kim Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Tao Li, Zhiping Yu Statistical Analysis of Full-Chip Leakage Power Considering Junction Tunneling Leakage. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Krisztina Szalisznyó Role of hyperpolarization-activated conductances in the lateral superior olive: A modeling study. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hyperpolarization activated currents, LSO, interaural sound intensity difference, rate code, dynamic width, type I neuron, type II neuron
10Renaud Jolivet, Alexander Rauch, Hans-Rudolf Lüscher, Wulfram Gerstner Predicting spike timing of neocortical pyramidal neurons by simple threshold models. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Spike Response Model, Stochastic input, Spike-timing reliability, Predicting spike timing, Adapting threshold
10Jae-Joon Kim, Kaushik Roy 0001 A Leakage-Tolerant Low-Swing Circuit Style in Partially Depleted Silicon-on-Insulator CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Rajeev R. Rao, Anirudh Devgan, David T. Blaauw, Dennis Sylvester Analytical yield prediction considering leakage/performance correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy 0001 Modeling and Analysis of Leakage Currents in Double-Gate Technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kaviraj Chopra, Sarma B. K. Vrudhula Efficient Symbolic Algorithms for Computing the Minimum and Bounded Leakage States. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Preetham Lakshmikanthan, Adrian Nunez A Novel Methodology to Reduce Leakage Power in CMOS Complementary Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Se Hun Kim, Vincent John Mooney Sleepy Keeper: a New Approach to Low-leakage Power VLSI Design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Behnam Amelifard, Farzan Fallah, Massoud Pedram Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Qikai Chen, Saibal Mukhopadhyay, Aditya Bansal, Kaushik Roy 0001 Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Arijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy 0001 Ultralow power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10S. H. Rasouli, Amir Amirabadi, A. Seyedi, Ali Afzali-Kusha Double edge triggered Feedback Flip-Flop in sub 100NM technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hyung-Ock Kim, Youngsoo Shin Analysis and optimization of gate leakage current of power gating circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sheng-Chih Lin, Kaustav Banerjee An electrothermally-aware full-chip substrate temperature gradient evaluation methodology for leakage dominant technologies with implications for power estimation and hot-spot management. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Baozhen Yu, Michael L. Bushnell A novel dynamic power cutoff technique (DPCT) for active leakage reduction in deep submicron CMOS circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power cutoff, standby current, stacking, leakage current, dynamic power
10Samuel Rodríguez, Bruce L. Jacob Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer design, pipelined caches, cache design
10Omer Can Akgun, Yusuf Leblebici Weak inversion performance of CMOS and DCVSPG logic families in sub-300 mV range. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kazuki Nakada, Jun Igarashi, A. Tetsuya, Hatsuo Hayashi Noise Effects on Performance of Signal Detection in an Analog VLSI Resonate-And Fire Neuron. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Edward K. Lee 0002, Eusebiu Matei, Ravi S. Ananth A 0.9 V rail-to-rail constant gm amplifier for implantable biomedical applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Volkan Kursun, Zhiyu Liu Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kaoru Nakada Robustness in binary cellular non-linear networks analog VLSI resonate-and-fire neuron. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Bruno Andò, Salvatore Baglio, Vincenzo Sacco, Adi R. Bulsara, Visarath In, Andy Kho, Antonio Palacios, Patrick Longhini Dynamic cooperative behavior in a coupled-core fluxgate magnetometer. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Micah G. O'Halloran, Rahul Sarpeshkar An analog storage cell with 5e-/sec leakage. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Carlos Muñiz-Montero, Alejandro Díaz-Sánchez, Ramón González Carvajal Offset compensation using unbalanced polarization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jonathan Tapson Supercritical stability in a sonar receiver circuit. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jin He 0003, Xing Zhang 0002, Ganggang Zhang, Yangyuan Wang A Carrier-Based Analytic Model for Undoped (Lightly Doped) Ultra-Thin-Body Silicon-on-Insulator (UTB-SOI) MOSFETs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ashok Patel, Bart Kosko Mutual-Information Noise Benefits in Brownian Models of Continuous and Spiking Neurons. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10M. Jagadesh Kumar, Ali A. Orouji Phase Change Memory Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Chuen M. Tan, Masud H. Chowdhury Simultaneous Analysis of Capacitive Coupling and Leakage Noise in Nanometer Scale Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hong Luo, Huazhong Yang, Rong Luo Accurate and Fast Estimation of Junction Band-to-Band Leakage in Nanometer-Scale MOSFET. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar Gate oxide leakage and delay tradeoffs for dual-Tox circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Benton H. Calhoun, Denis C. Daly, Naveen Verma, Daniel F. Finchelstein, David D. Wentzloff, Alice Wang, Seong-Hwan Cho, Anantha P. Chandrakasan Design Considerations for Ultra-Low Energy Wireless Microsensor Nodes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wireless sensor networks, low-power design, Integrated circuits, energy-aware systems
10Dongwoo Lee, David T. Blaauw, Dennis Sylvester Static leakage reduction through simultaneous Vt/Tox and state assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Siva G. Narendra Challenges and design choices in nanoscale CMOS. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanoscale, process variation, CMOS, leakage power
10Máté Lengyel, Zsófia Huhn, Péter Érdi Computational theories on the function of theta oscillations. Search on Bibsonomy Biol. Cybern. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Anirudh Devgan Modeling and Analysis of Parametric Yield under Power and Performance Constraints. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF G.4.g Reliability and robustness, B.7 Integrated Circuits, Fault-Tolerance
10Sung-Bae Park DLV (Deep Low Voltage): Circuits and Devices. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Valeriu Beiu, Asbjørn Djupdal, Snorre Aunet Ultra Low-Power Neural Inspired Addition: When Serial Might Outperform Parallel Architectures. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jun Liu, Zhengguo Lou, Guang Li 0001 Effect of Noises on Two-Layer Hodgkin-Huxley Neuronal Network. Search on Bibsonomy ICNC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Leyla Nazhandali, Michael Minuth, Bo Zhai, Javin Olson, Todd M. Austin, David T. Blaauw A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sensor network, energy efficiency, microprocessor, memory organization
10Andrew B. Kahng, Swamy Muddu, Puneet Sharma Defocus-aware leakage estimation and control. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ACLV, yield, leakage, lithography
10Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, dual-Vt, metal gate
10Kazuki Nakada, Tetsuya Asai, Yoshihito Amemiya Analog CMOS implementation of a neuromorphic oscillator with current-mode low-pass filters. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Paul Beckett Low-power spatial computing using dynamic threshold devices. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Paul Beckett, Seth Copen Goldstein Why area might reduce power in nanoscale CMOS. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Giuseppe de Vita, Giuseppe Iannaccone Ultra low power RF section of a passive microwave RFID transponder in 0.35µm BiCMOS. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jihyun Lee, Yong-Bin Kim ASLIC: A Low Power CMOS Analog Circuit Design Automation. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Carlos Aguirre, Doris Campos, Pedro Pascual, Eduardo Serrano Neuronal Behavior with Sub-threshold Oscillations and Spiking/Bursting Activity Using a Piecewise Linear Two-Dimensional Map. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yu-Shiang Lin, Dennis Sylvester A New Asymmetric Skewed Buffer Design for Runtime Leakage Power Reduction. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hongliang Chang, Sachin S. Sapatnekar Full-chip analysis of leakage power under process variations, including spatial correlations. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Swarup Bhunia, Nilanjan Banerjee, Qikai Chen, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 A novel synthesis approach for active leakage power reduction using dynamic supply gating. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Martin St-Hilaire, André Longtin Comparison of Coding Capabilities of Type I and Type II Neurons. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Type I neuron, Type II neuron, Morris Lecar model, electric fish, bifurcation theory, information theory, noise, neural coding, phase locking
10Jianfeng Feng, David Brown 0004 Decoding Input Signals in Time Domain - A Model Approach. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF the integrate-and-fire model, the Hodgkin-Huxley model, input frequency, tuning curves, decoding
10Domenik Helms, Eike Schmidt, Wolfgang Nebel Leakage in CMOS Circuits - An Introduction. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ashish Srivastava, Dennis Sylvester, David T. Blaauw Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yingmin Li, Dharmesh Parikh, Yan Zhang 0028, Karthik Sankaranarayanan, Mircea R. Stan, Kevin Skadron State-Preserving vs. Non-State-Preserving Leakage Control in Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Saumil Shah, Kanak Agarwal, Dennis Sylvester A New Threshold Voltage Assignment Scheme for Runtime Leakage Reduction in On-Chip Repeaters. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Francisco Serra-Graells, Xavier Redondo Exact design of all-MOS log filters. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Nhan Nguyen, Chris Winstead, Vincent C. Gaudet, Christian Schlegel A 0.8V CMOS analog decoder for an (8, 4, 4) extended Hamming code. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Daniel J. Allen, Angelo W. Pereira, Paul E. Hasler A programmable coefficient continuous-time A/D Delta-Sigma modulator. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Seiichiro Fujii, Toshinori Sato Non-uniform Set-Associative Caches for Power-Aware Embedded Processors. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Phillip Chin, Charles A. Zukowski, George Gristede, Stephen V. Kosonocky Characterization of logic circuit techniques for high leakage CMOS technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF monotonic logic, low power, leakage current
10Navid Azizi, Farid N. Najm An Asymmetric SRAM Cell to Lower Gate Leakage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kaviraj Chopra, Sarma B. K. Vrudhula, Sarvesh Bhardwaj Efficient Algorithms for Identifying the Minimum Leakage States in CMOS Combinational Logic. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10David D. Wentzloff, Benton H. Calhoun, Rex Min, Alice Wang, Nathan Ickes, Anantha P. Chandrakasan Design Considerations for Next Generation Wireless Power-Aware Microsensor Nodes. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kaviraj Chopra, Sarma B. K. Vrudhula Implicit pseudo boolean enumeration algorithms for input vector control. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, CMOS, SAT, binary decision diagrams, leakage, symbolic methods
10Saibal Mukhopadhyay, Cassondra Neau, R. T. Cakici, Amit Agarwal 0001, Chris H. Kim, Kaushik Roy 0001 Gate leakage reduction for scaled devices using transistor stacking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger Static energy reduction techniques for microprocessor caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Timing constraints for domino logic gates with timing-dependent keepers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mohab Anis, Shawki Areibi, Mohamed I. Elmasry Design and optimization of multithreshold CMOS (MTCMOS) circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10José Luis Peña Binaural processing in the synthesis of auditory spatial receptive fields. Search on Bibsonomy Biol. Cybern. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Frank Honoré, Benton H. Calhoun, Anantha P. Chandrakasan Power-aware architectures and circuits for FPGA-based signal processing. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Emrah Acar, Anirudh Devgan, Rahul M. Rao, Ying Liu, Haihua Su, Sani R. Nassif, Jeffrey L. Burns Leakage and leakage sensitivity computation for combinational circuits. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF iddq analysis, sensitivity, power estimation, leakage power
10Timothy K. Horiuchi, Ralph Etienne-Cummings A time-series processor for sonar mapping and novelty detection. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Debasis Samanta, Ajit Pal Synthesis of Dual-VT Dynamic CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nora logic, unate decomposition, low power, Logic synthesis, high performance, leakage power, domino logic, dynamic circuits, dual-VT
10Dongwoo Lee, Wesley Kwong, David T. Blaauw, Dennis Sylvester Analysis and minimization techniques for total leakage considering gate oxide leakage. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Noise constrained transistor sizing and power optimization for dual Vst domino logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Steve Dropsho, Volkan Kursun, David H. Albonesi, Sandhya Dwarkadas, Eby G. Friedman Managing static leakage energy in microprocessor functional units. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Chris H. Kim, Kaushik Roy 0001 Dynamic Vt SRAM: a leakage tolerant cache memory for low voltage microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Trond Ytterdal, Snorre Aunet Compact low-voltage self-calibrating digital floating-gate CMOS logic circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Roman Genov, Gert Cauwenberghs Charge-based MOS correlated double sampling comparator and folding circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Masakazu Yagi, Tadashi Shibata An associative-processor-based mixed signal system for robust grayscale image recognition. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Christopher D. Salthouse, Rahul Sarpeshkar A micropower band-pass filter for use in bionic ears. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Adrian M. Ionescu, V. Pott, R. Fritschi, Kaustav Banerjee, Michel J. Declercq, Philippe Renaud, C. Hibert, Philippe Flückiger, G. A. Racine Modeling and Design of a Low-Voltage SOI Suspended-Gate MOSFET (SG-MOSFET) with a Metal-over-Gate Architecture. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Wenjie Jiang, Vivek Tiwari, Erik de la Iglesia, Amit Sinha Topological Analysis for Leakage Prediction of Digital Circuits. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1034 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license