The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnection with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1972 (15) 1973-1976 (19) 1977-1979 (26) 1980 (19) 1981 (32) 1982 (48) 1983 (36) 1984 (34) 1985 (48) 1986 (62) 1987 (65) 1988 (81) 1989 (98) 1990 (110) 1991 (123) 1992 (134) 1993 (144) 1994 (198) 1995 (181) 1996 (204) 1997 (207) 1998 (153) 1999 (178) 2000 (199) 2001 (145) 2002 (215) 2003 (215) 2004 (257) 2005 (334) 2006 (312) 2007 (327) 2008 (315) 2009 (242) 2010 (138) 2011 (138) 2012 (132) 2013 (121) 2014 (103) 2015 (113) 2016 (122) 2017 (124) 2018 (95) 2019 (102) 2020 (95) 2021 (81) 2022 (84) 2023 (81) 2024 (17)
Publication types (Num. hits)
article(2309) book(5) data(1) incollection(17) inproceedings(3883) phdthesis(95) proceedings(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6978 occurrences of 2230 keywords

Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López 0001, José Duato Congestion Management in MINs through Marked and Validated Packets. Search on Bibsonomy PDP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Pierre-Marc Bureau, José M. Fernandez 0001 Optimising Networks Against Malware. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Min Xie, Youren Wang, Li Wang, Yuan Zhang Design on Operator-Based Reconfigurable Hardware Architecture and Cell Circuit. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Operator-based programmable cell circuit, FPGA, Reconfigurable computing, Reconfigurable hardware, Information processing
16Stamatis Vassiliadis, Ioannis Sourdis FLUX Networks: Interconnects on Demand. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Kostas Siozios, Dimitrios Soudris, Antonios Thanailakis Designing Alternative FPGA Implementations Using Spatial Data from Hardware Resources. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yuriy Sheynin, Elena Suvorova, Felix Shutenko Complexity and Low Power Issues for On-chip Interconnections in MPSoC System Level Design. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ari Kulmala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen Evaluating SoC Network Performance in MPEG-4 Encoder. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Kostas Siozios, Dimitrios Soudris Wire Segment Length and Switch Box Co-Optimization for FPGA Architectures. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Grigoris Dimitroulakos, Michalis D. Galanis, Constantinos E. Goutis Exploring the design space of an optimized compiler approach for mesh-like coarse-grained reconfigurable architectures. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ming-Der Shieh, Tai-Ping Wang, Chien-Ming Wu, Chun-Ming Huang Efficient path metric access for reducing interconnect overhead in Viterbi decoders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ashok Narasimhan, Bhooma Srinivasaraghavan, Ramalingam Sridhar A Low-Power Asymmetric Source Driver Level Converter Based Current-Mode Signaling Scheme for Global Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ngoc Chi Nguyen, Vo Dinh Minh Nhat, Sungyoung Lee Fault Free Shortest Path Routing on the de Bruijn Networks. Search on Bibsonomy ICN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Erno Salminen, Ari Kulmala, Timo D. Hämäläinen HIBI-based multiprocessor SoC on FPGA. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Gustavo Pereira, Antonio Andrade Jr., Tiago R. Balen, Marcelo Lubaszewski, Florence Azaïs, Michel Renovell Testing the Interconnect Networks and I/O Resources of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPAA testing, Mixed-signal test, interconnect testing, oscillation-based test
16Ashok Narasimhan, Manish Kasotiya, Ramalingam Sridhar A Low-Swing Differential Signaling Scheme for On-Chip Global Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Chien-Ping Chang, Pao-Lien Lai, Jimmy J. M. Tan, Lih-Hsing Hsu Diagnosability of t-Connected Networks and Product Networks under the Comparison Diagnosis Model. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF comparison diagnosis model, t-diagnosable, order graph, connectivity, Diagnosability, product networks
16Luciano Lenzini, Enzo Mingozzi, Giovanni Stea Eligibility-Based Round Robin for Fair and Efficient Packet Scheduling in Wormhole Switching Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wormhole switching networks, quality of service, fairness, Packet scheduling
16Aline Mello 0001, Leandro Möller, Ney Calazans, Fernando Gehm Moraes MultiNoC: A Multiprocessing System Enabled by a Network on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jorji Nonaka, Nobuyuki Kukimoto, Naohisa Sakamoto, Hiroshi Hazama, Yasuhiro Watashiba, Xuezhen Liu, Masato Ogata, Masanori Kanazawa, Koji Koyamada Hybrid Hardware-Accelerated Image Composition for Sort-Last Parallel Rendering on Graphics Clusters with Commodity Image Compositor. Search on Bibsonomy VolVis The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Sort-Last, Hardware-Assisted, Cluster Computing, Parallel Rendering, Image Compositing
16Sharad Jaiswal, Arnold L. Rosenberg, Donald F. Towsley Comparing the Structure of Power-Law Graphs and the Internet AS Graph. Search on Bibsonomy ICNP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AS Graph, power-law graphs, Internet Topology
16Mustafa Çakir, Eike Grimpe, Wolfgang Nebel HW-Driven Emulation with Automatic Interface Generation. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16José Carlos Sancho, Juan Carlos Martínez, Antonio Robles, Pedro López 0001, José Flich, José Duato Performance Evaluation of COWs under Real Parallel Application. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF real parallel applications, routing algorithms, irregular topologies, execution-driven simulation, COWs
16Douglas C. Sicker Applying a Layered Policy Model to IP Based Voice Services. Search on Bibsonomy HICSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Partha Pratim Pande, Cristian Grecu, André Ivanov High-Throughput Switch-Based Interconnect for Future SoCs. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC, Wormhole Routing, Virtual Channels, Interconnect Architecture
16Dorothy Kucar, Anthony Vannelli InterconnectionModelling Using Distributed RLC Models. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Naotaka Ohsawa, Masanori Hariyama, Michitaka Kameyama High-Performance Field Programmable VLSI Processor Based on a Direct Allocation of a Control/Data Flow Graph. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable processor, Two-dimensional array, Bit-serial architecture
16Carsten Trinitis, Martin Schulz 0001, Wolfgang Karl Boosting the Performance of Electromagnetic Simulations on a PC-Cluster. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Electric field simulation, High voltage engineering, SCI, Parallel Efficiency, Commodity clusters
16Joo Beom Yun, Seong Tae Jhang, Chu Shik Jhon, Cheol Won Lee Analysis of System Performance by Changing the Ring Architecture on the Dual Ring CC-NUMA System. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Jouni Riihimäki, Erno Salminen, Kimmo Kuusilinna, Timo Hämäläinen 0001 Parameter optimization tool for enhancing on-chip network performance. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16V. Srinivasan, Sriram Govindarajan, Ranga Vemuri Fine-grained and coarse-grained behavioral partitioning with effective utilization of memory and design space exploration for multi-FPGA architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Antonio Zenteno, Víctor H. Champac, Joan Figueras Detectability Conditions of Full Opens in the Interconnections. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF logic testing, IDDQ testing, opens, defect modeling
16Mike Sheng, Jonathan Rose Mixing buffers and pass transistors in FPGA routing architectures. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Oliver Bringmann 0001, Wolfgang Rosenstiel, Carsten Menn Target Architecture Oriented High-Level Synthesis for Multi-FPGA Based Emulation. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Katsuyoshi Miura, Koji Nakamae, Hiromu Fujioka Intelligent EB Test System for Automatic VLSI Fault Tracing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault tracing, electron beam test system, fault tracing, VLSI, intelligent system
16Pierpaolo Baglietto Euclidean Distance Transform on a Dedicated Architecture Based on a Reconfigurable Mesh Networ. Search on Bibsonomy ICIAP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Brian K. Schmidt, Monica S. Lam, J. Duane Northcutt The interactive performance of SLIM: a stateless, thin-client architecture. Search on Bibsonomy SOSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Chi-Chou Kao, Yen-Tai Lai A routability and performance driven technology mapping algorithm for LUT based FPGA designs. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jianmin Li, Chung-Kuan Cheng Routability improvement using dynamic interconnect architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Ju Hwan Yi, Hoon Choi, In-Cheol Park, Seung Ho Hwang, Chong-Min Kyung Multiple Behavior Module Synthesis Based on Selective Groupings. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Shiuann-Shiuh Lin, Yuh-Ju Lin, TingTing Hwang Net assignment for the FPGA-based logic emulation system in the folded-Clos network structure. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Chirag S. Patel, Sek M. Chai, Sudhakar Yalamanchili, David E. Schimmel Power/Performance Trade-offs for Direct Networks. Search on Bibsonomy PCRCW The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Fabrizio Petrini, Marco Vanneschi Network Performance under Physical Constraints. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Tadayoshi Horita, Itsuo Takanami A Polynomial Time Algorithm for Reconfiguring the 1 1/2 Track-Switch Model with PE and Bus faults. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF mesh arrays, the 1frac{1}{2} track-switch model, fault-tolerance, polynomial time algorithm, wafer scale integration
16James M. Neighbors Finding Reusable Software Components in Large Systems. Search on Bibsonomy WCRE The full citation details ... 1996 DBLP  DOI  BibTeX  RDF component, reuse, subsystem
16Jiao Fan, D. Zaleta, Chung-Kuan Cheng, S. H. Lee Physical models and algorithms for optoelectronic MCM layout. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Antonio González 0001, Miguel Valero-García, Luis Díaz de Cerio Executing Algorithms with Hypercube Topology on Torus Multicomputers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scalable distributed memory multiprocessors, torus multicomputers, mapping of parallel algorithms, hypercubes, Graph embeddings
16Somchai Prasitjutrakul, William J. Kubitz A performance-driven global router for custom VLSI chip design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Jeff Kramer, Jeff Magee, Morris Sloman Configuring distributed systems. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Uma Bhattacharya, Swapan Bhattacharya Mapping of Fault-Tolerant Permutations in Omega. Search on Bibsonomy ICCI The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Matthias Passlack, Manfred Uhle, Horst Elschner Analysis of propagation delays in high-speed VLSI circuits using a distributed line model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Ishfaq Ahmad, Arif Ghafoor A semi distributed load balancing scheme for large multicomputer systems. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Goos Kant, Jan van Leeuwen The File Distribution Problem for Processor Networks. Search on Bibsonomy SWAT The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Teresa H.-Y. Meng, Robert W. Brodersen, David G. Messerschmitt Automatic synthesis of asynchronous circuits from high-level specifications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16James M. Purtilo MINION: An Environment to Organize Mathematical Problem Solving. Search on Bibsonomy ISSAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Siamak Arya, Blaine Gaither Parallel algorithm development workbench. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Emilio Luque, Joan Sorribes, Ana Ripoll Tuning architecture at run-time. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Johannes Milde, Thomas Plückebaum, Walter Ameling Synchronous Communication of Cooperating Processes in the M5PS Multiprocessor. Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Laxmi N. Bhuyan, Dharma P. Agrawal Applications of SIMD computers in signal processing. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
16Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio MRR: Enabling fully adaptive multicast routing for CMP interconnection networks. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Gonzalo Zarza, Diego Lugones, Daniel Franco 0002, Emilio Luque A Multipath Fault-Tolerant Routing Method for High-Speed Interconnection Networks. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Xiao Liu 0011, Qiang Xu 0001 Interconnection fabric design for tracing signals in post-silicon validation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF trace-based debug, post-silicon validation
16Sungjoon Jung, Tag Gon Kim An Operation and Interconnection Sharing Algorithm for Reconfiguration Overhead Reduction Using Static Partial Reconfiguration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Olav Lysne, Sven-Arne Reinemo, Tor Skeie, Åshild Grønstad Solheim, Thomas Sødring, Lars Paul Huse, Bjørn Dag Johnsen Interconnection Networks: Architectural Challenges for Utility Computing Data Centers. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri Adaptive Channel Buffers in On-Chip Interconnection Networks - A Power and Performance Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Fei Qiao, Huazhong Yang, Gang Huang, Hui Wang 0004 Implementation of low-swing differential interface circuits for high-speed on-chip asynchronous interconnection. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-swing interface, differential signaling, tapered-buffer, interconnect, asynchronous circuit, low power circuit
16Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang, Wei Hwang Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnnection, reliability, low power, network-on-chip
16Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio Reducing the Interconnection Network Cost of Chip Multiprocessors. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chip Multiprocessors, Deadlock, Router Design
16Alessandro Astolfi, Romeo Ortega Interconnection and Damping Assignment Passivity-Based Control: Static vs dynamic state-feedback. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hongbing Fan, Jason Ernst, Yu-Liang Wu Customized Reconfigurable Interconnection Networks for multiple application SOCS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Bin Yao, Haisen S. Li, Tian Zhou 0002, Baowei Chen Theoretical Research on Topological Properties of Generalized K-Ary n-Cube Interconnection Network. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jung-Heum Park, Hee-Chul Kim, Hyeong-Seok Lim On the construction of paired many-to-many disjoint path covers in hypercube-like interconnection networks with faulty elements. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Zhengjia Wang, Yuhui Wang, Zhouping Yin A Multi-physics Simulation Based Parameters Optimization for Anisotropic Conductive Adhesive Interconnection in Electronic Packaging. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Anisotropic conductive adhesive (ACA), coupled field, multi-physics simulation, optimization, reliability
16Seulki Lee, Jerald Yoo, Hoi-Jun Yoo A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hazem Moussa, Amer Baghdadi, Michel Jézéquel Binary de Bruijn interconnection network for a flexible LDPC/turbo decoder. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Guilherme Piêgas Koslovski, Pascale Vicat-Blanc Primet, Andrea Schwertner Charão VXDL: Virtual Resources and Interconnection Networks Description Language. Search on Bibsonomy GridNets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF virtual grids, network virtualization, description language
16Cory Hawkins, Benjamin A. Small, D. Scott Wills, Keren Bergman The Data Vortex, an All Optical Path Multicomputer Interconnection Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Optical switch fabrics, photonic packet switch, data vortex switch architecture, packet switching, optical switching
16William J. Dally Enabling Technology for On-Chip Interconnection Networks. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Alejandro Martínez-Vicente, Pedro Javier García, Francisco José Alfaro, José L. Sánchez 0002, José Flich, Francisco J. Quiles 0001, José Duato Integrated QoS Provision and Congestion Management for Interconnection Networks. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Torsten Hoefler, Andre Lichei, Wolfgang Rehm Low-Overhead LogGP Parameter Assessment for Modern Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Lei Wang 0017, Yaping Lin, Yonghe Liu Key Distribution for Group-based Sensor Deployment Using a Novel Interconnection Graph. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Sotirios Xydis, George Economakos, Kiamal Z. Pekmestzi A Reconfigurable Arithmetic Data-path Based On Regular Interconnection. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Aleksandr Rudkevich, Kaan Egilmez, Minghai Liu, Prashant Murti, Poonsaeng Visudhiphan, Richard Tabors, Thomas J. Overbye Identification and Congestion Analysis of Transmission Corridors of the Eastern Interconnection. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Ioannis Papaefstathiou, George Kornaros, Nikolaos Chrysos A buffered crossbar-based chip interconnection framework supporting quality of service. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip interconnect, quality of service, system on chip, network on chip, multi-processor, buffered crossbar
16Seung Eun Lee, Jun Ho Bahn, Nader Bagherzadeh Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP). Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Crispín Gómez Requena, María Engracia Gómez, Pedro López 0001, José Duato An Efficient Fault-Tolerant Routing Methodology for Fat-Tree Interconnection Networks. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Dimitris C. Vasiliadis, George E. Rizos, Costas Vassilakis Performance Analysis of dual priority single-buffered blocking Multistage Interconnection Networks. Search on Bibsonomy ICNS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Pedro Javier García, Francisco J. Quiles 0001, José Flich, José Duato, Ian Johnson, Finbar Naven Efficient, Scalable Congestion Management for Interconnection Networks. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Regional Explicit Congestion Notification (RECN), congestion management
16Federico Quaglio, Fabrizio Vacca, Cristiano Castellano, Alberto Tarable, Guido Masera Interconnection framework for high-throughput, flexible LDPC decoders. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Paulo S. Motta Pires, Luiz Affonso H. G. Oliveira Security Aspects of SCADA and Corporate Network Interconnection: An Overview. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shinyoung Lim, Abdelsalam Helal Encapsulation and Entity-Based Approach of Interconnection Between Sensor Platform and Middleware of Pervasive Computing. Search on Bibsonomy UCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Hamed Nassar, Mohamed Ali Ahmed Performance Analysis of Finite Buffered Multistage Interconnection Networks Routing Two-Class Traffic. Search on Bibsonomy ISCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Thomas Kuhn 0001, Philipp Becker A Simulator Interconnection Framework for the Accurate Performance Simulation of SDL Models. Search on Bibsonomy SAM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Michal P. Karpowicz, Krzysztof Malinowski 0001 Dynamic Resource Allocation Mechanism for Network Interconnection Management. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Mukesh Ranjan, Ranga Vemuri Exact hierarchical symbolic analysis of large analog networks using a general interconnection template. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Kostas Siozios, Dimitrios Soudris, Adonios Thanailakis A novel methodology for designing high-performance and low-power FPGA interconnection targeting DSP applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16George Jie Yuan, Nabil H. Farhat, Jan Van der Spiegel A CMOS monolithic implementation of a nonlinear interconnection module for a corticonic network. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jung-Heum Park, Hyeong-Seok Lim, Hee-Chul Kim Panconnectivity and Pancyclicity of Hypercube-Like Interconnection Networks with Faulty Elements. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Carlos Eduardo da Silva, Adilson B. Lopes, Glêdson Elias da Silveira, Guido Lemos de Souza Filho, Maurício F. Magalhães A Component Interconnection Model for Interactive Digital Television Systems. Search on Bibsonomy AINA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Nen-Chung Wang, Chih-Ping Chu An Efficient Tree-Based Multicasting Algorithm on Wormhole-Routed Star Graph Interconnection Networks Embedded with Hamiltonian Path. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parallel computing, multicast, wormhole routing, star graphs, tree-based routing
16José Duato, Ian Johnson, José Flich, Finbar Naven, Pedro Javier García, Teresa Nachiondo Frinós A New Scalable and Cost-Effective Congestion Management Strategy for Lossless Multistage Interconnection Networks. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 6322 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license