|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3194 occurrences of 1627 keywords
|
|
|
Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
13 | Hao Che, Zhijun Wang 0001, Kai Zheng 0003, Bin Liu 0001 |
DRES: Dynamic Range Encoding Scheme for TCAM Coprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 57(7), pp. 902-915, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Composite structures, Storage/repositories, Network repositories/data mining/backup, Associative memories |
13 | Mazen Kharbutli, Yan Solihin |
Counter-Based Cache Replacement and Bypassing Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 57(4), pp. 433-447, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Cache Bypassing, Counter-Based Algorithms, Cache memories, Cache Replacement, Cache Misses |
13 | Neila Mezghani, Amar Mitiche, Mohamed Cheriet |
Bayes Classification of Online Arabic Characters by Gibbs Modeling of Class Conditional Densities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 30(7), pp. 1121-1131, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
and, Clustering, classification, association rules, Associative memories |
13 | Gerard Oleksik, Lorna M. Brown |
Sonic gems: exploring the potential of audio recording as a form of sentimental memory capture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BCS HCI (1) ![In: Proceedings of the 22nd British HCI Group Annual Conference on HCI 2008: People and Computers XXII: Culture, Creativity, Interaction - Volume 1, BCS HCI 2008, Liverpool, United Kingdom, 1-5 September 2008, pp. 163-172, 2008, BCS, 978-1-906124-04-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
audio capture, memories, audio, sound |
13 | Federico Neri, Angelo Priamo |
SPYWatch, Overcoming Linguistic Barriers in Information Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroISI ![In: Intelligence and Security Informatics, First European Conference, EuroISI 2008, Esbjerg, Denmark, December 3-5, 2008. Proceedings, pp. 51-60, 2008, Springer, 978-3-540-89899-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
open source intelligence, multilingual lexicons, supervised clustering, natural language processing, machine translation, focused crawling, functional analysis, unsupervised clustering, morphological analysis, syntactic analysis, translation memories |
13 | Luiza M. N. Coutinho, José Leandro D. Mendes, Carlos A. P. S. Martins |
Dynamically Reconfigurable Split Cache Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 3-5 December 2008, Cancun, Mexico, Proceedings, pp. 163-168, 2008, IEEE Computer Society, 978-0-7695-3474-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Computer Architecture, Reconfigurable Computing, Cache memories |
13 | Neta Aizenbud-Reshef, Eran Belinsky, Michal Jacovi, David Laufer, Vladimir Soroka |
Pensieve: augmenting human memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, CHI 2008, Florence, Italy, April 5-10, 2008, pp. 3231-3236, 2008, ACM, 978-1-60558-012-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
augmenting memory, experience logging, pensieve, mobile phones, sharing memories |
13 | Mario Aldape-Pérez, Israel Román-Godínez, Oscar Camacho Nieto |
Thresholded Learning Matrix for Efficient Pattern Recalling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIARP ![In: Progress in Pattern Recognition, Image Analysis and Applications, 13th Iberoamerican Congress on Pattern Recognition, CIARP 2008, Havana, Cuba, September 9-12, 2008. Proceedings, pp. 445-452, 2008, Springer, 978-3-540-85919-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Lernmatrix, Supervised Learning, Pattern Classification, Associative Memories, Dynamic Threshold |
13 | Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser |
Utilizing shared data in chip multiprocessors with the nahalal architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Munich, Germany, June 14-16, 2008, pp. 1-10, 2008, ACM, 978-1-59593-973-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
chip multiprocessors, cache memories |
13 | Yutao Zhong 0001, Steven G. Dropsho, Xipeng Shen, Ahren Studer, Chen Ding 0001 |
Miss Rate Prediction Across Program Inputs and Cache Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(3), pp. 328-343, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
optimization, compilers, Cache memories, modeling techniques, performance analysis and design aids |
13 | Jaydeep Marathe, Frank Mueller 0001 |
Source-Code-Correlated Cache Coherence Characterization of OpenMP Benchmarks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(6), pp. 818-834, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
simulation, Cache memories, SMPs, program instrumentation, coherence protocols, dynamic binary rewriting |
13 | Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler |
A NUCA Substrate for Flexible CMP Cache Sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(8), pp. 1028-1040, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Multiprocessor systems, cache memories, adaptable architectures |
13 | Ricardo Barrón, Humberto Sossa, Benjamín Cruz |
A New Algorithm for Training Multi-layered Morphological Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIARP ![In: Progress in Pattern Recognition, Image Analysis and Applications, 12th Iberoamericann Congress on Pattern Recognition, CIARP 2007, Valparaiso, Chile, November 13-16, 2007, Proceedings, pp. 546-555, 2007, Springer, 978-3-540-76724-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
maximal support neighborhoods, Associative memories, Morphological neural networks |
13 | Rui M. Jesus, Ricardo J. Dias, Rute Frias, Nuno Correia 0001 |
Geographic image retrieval in mobile guides. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIR ![In: Proceedings of the 4th ACM Workshop On Geographic Information Retrieval, GIR 2007, Lisbon, Portugal, November 9, 2007, pp. 37-38, 2007, ACM, 978-1-59593-828-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
geographic image retrieval, mobile guides, personal memories |
13 | Sandro Romani, Daniel J. Amit, Gianluigi Mongillo |
Mean-field analysis of selective persistent activity in presence of short-term synaptic depression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Neurosci. ![In: J. Comput. Neurosci. 20(2), pp. 201-217, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Mean-field analysis, Overlapping memories, Selective persistent activity, STD, Spiking neuron |
13 | Moon-Hee Choi, Woo-Chan Park, Francis Neelamkavil, Tack-Don Han, Shin-Dug Kim |
An Effective Visibility Culling Method Based on Cache Block. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(8), pp. 1024-1032, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
visible/surface algorithms, Computer graphics, cache memories, graphics processors |
13 | Hwansoo Han, Chau-Wen Tseng |
Exploiting Locality for Irregular Scientific Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 17(7), pp. 606-618, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
inspector/executor, data reordering, computation reordering, Compiler optimization, cache memories |
13 | Vilas Sridharan, Hossein Asadi 0001, Mehdi Baradaran Tahoori, David R. Kaeli |
Reducing Data Cache Susceptibility to Soft Errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Dependable Secur. Comput. ![In: IEEE Trans. Dependable Secur. Comput. 3(4), pp. 353-364, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
refresh, refetch, Fault tolerance, reliability, cache memories, soft errors, error modeling |
13 | Corina Sas, Alan J. Dix |
Designing for collective remembering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2006 Conference on Human Factors in Computing Systems, CHI 2006, Montréal, Québec, Canada, April 22-27, 2006, pp. 1727-1730, 2006, ACM, 978-1-59593-298-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
memory technology, interaction design, episodic memory, collective memories |
13 | Jaume Abella 0001, Antonio González 0001 |
Heterogeneous way-size cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 239-248, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
adaptive, low power, cache memories, set-associative |
13 | Cameron McNairy, Rohit Bhatia |
Montecito: A Dual-Core, Dual-Thread Itanium Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(2), pp. 10-20, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Reliability, Power Management, Cache memories, Multithreaded processors, Testing and Fault-Tolerance |
13 | Philip Machanick |
The Value of a Small Microkernel for Dreamy Memory and the RAMpage Memory Hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(5), pp. 586-595, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low-power design, cache memories, virtual memory, microkernels, main memory |
13 | Konstantinos Koutroumbas |
COMAX: A Cooperative Method for Determining the Position of the Maxima. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Process. Lett. ![In: Neural Process. Lett. 22(2), pp. 205-221, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
feedforward neural network architectures, Hamming Max-net, recurrent neural network architectures, selection of the position of the maximum, associative memories |
13 | Jaume Abella 0001, Antonio González 0001, Xavier Vera, Michael F. P. O'Boyle |
IATAC: a smart predictor to turn-off L2 cache lines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 2(1), pp. 55-77, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
turning off cache lines, low power, Cache memories, L2 cache |
13 | Gian Carlo Cardarilli, Fabrizio Lombardi, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano |
A Comparative Evaluation of Designs for Reliable Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 21(4), pp. 429-444, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
mass memories, fault tolerance, Markov model, reed solomon codes |
13 | Philip Jacob 0001, Okan Erdogan, Aamir Zia, Paul M. Belemjian, Russell P. Kraft, John F. McDonald 0001 |
Predicting the Performance of a 3D Processor-Memory Chip Stack. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(6), pp. 540-547, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Simulation, Cache memories, Performance of Systems |
13 | Akihito Sakanaka, Seiichirou Fujii, Toshinori Sato |
A leakage-energy-reduction technique for highly-associative caches in embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 32(3), pp. 50-54, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cache memories, embedded processors, leakage current |
13 | Jingling Xue, Xavier Vera |
Efficient and Accurate Analytical Modeling of Whole-Program Data Cache Behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(5), pp. 547-566, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
performance evaluation, analytical modeling, cache memories, data locality, Modeling techniques |
13 | Victor M. DeLaLuz, Ismail Kadayif, Mahmut T. Kandemir, Ugur Sezer |
Access Pattern Restructuring for Memory Energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(4), pp. 289-303, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
banked memories, embedded systems, Compiler optimization, energy consumption, access pattern |
13 | Kubilay Atasu, Luca Breveglieri, Marco Macchetti |
Efficient AES implementations for ARM based platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004, pp. 841-845, 2004, ACM, 1-58113-812-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
ARM microprocessor, AES, cache memories, code optimisation |
13 | Antonis Papanikolaou, Miguel Miranda, Francky Catthoor |
Overcoming the "Memory Wall" by improved system design exploration and a link to process technology options. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 202-211, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
combined system design and process technology exploration, optimal energy/delay trade-off exploration in memories |
13 | Michel Dubois 0001 |
Fighting the memory wall with assisted execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 168-180, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance |
13 | Yiannis Andreopoulos, Peter Schelkens, Gauthier Lafruit, Kostas Masselos, Jan Cornelis 0001 |
High-Level Cache Modeling for 2-D Discrete Wavelet Transform Implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 34(3), pp. 209-226, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
discrete wavelet transform implementations, cache memories, theoretical modeling |
13 | Wei Zhang 0002, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin |
Performance, energy, and reliability tradeoffs in replicating hot cache lines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 309-317, 2003, ACM, 1-58113-676-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
cache reliability, line replication, cache memories, leakage power |
13 | Carlos Molina, Carles Aliagas, Montse Garcia 0002, Antonio González 0001, Jordi Tubella |
Non redundant data cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 274-277, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
value replication, low power, compression, cache memories |
13 | Sybille Hellebrand, Hans-Joachim Wunderlich, Alexander A. Ivaniuk, Yuri V. Klimets, Vyacheslav N. Yarmolik |
Efficient Online and Offline Testing of Embedded DRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(7), pp. 801-809, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
online checking, BIST, systems-on-a-chip, Embedded memories |
13 | Premkumar T. Devanbu, Stuart G. Stubblebine |
Stack and Queue Integrity on Hostile Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 28(1), pp. 100-108, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
correctness of memories, oblivious ram, security, data structures, software protection |
13 | Victor De La Luz, Mahmut T. Kandemir, Ibrahim Kolcu |
Automatic data migration for reducing energy consumption in multi-bank memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 213-218, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
multi-bank memories, energy consumption, data migration |
13 | Claude Limousin, Julien Sébot, Alexis Vartanian, Nathalie Drach-Temam |
Improving 3D geometry transformations on a simultaneous multithreaded SIMD processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 15th international conference on Supercomputing, ICS 2001, Sorrento, Napoli, Italy, June 16-21, 2001, pp. 236-245, 2001, ACM, 1-58113-410-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
SIMD extensions, cache memories, parallel rendering, data prefetching, SMT, applications specific architectures |
13 | Alexis Vartanian, Jean-Luc Béchennec, Nathalie Drach-Temam |
The Best Distribution for a Parallel OpenGL 3D Engine with Texture Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 399-408, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
texture mapping, Cache memories, parallel rendering, multiprocessing, application specific architecture |
13 | Tong Sun, Qing Yang 0001 |
A Comparative Analysis of Cache Designs for Vector Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(3), pp. 331-344, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
simulation, Performance evaluation, benchmarks, memory hierarchy, cache memories, vector processing |
13 | Somnath Ghosh, Margaret Martonosi, Sharad Malik |
Cache miss equations: a compiler framework for analyzing and tuning memory behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 21(4), pp. 703-746, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
optimization, compilation, program transformation, cache memories |
13 | Saleh E. Abdullahi, Graem A. Ringwood |
Garbage Collecting the Internet: A Survey of Distributed Garbage Collection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Comput. Surv. ![In: ACM Comput. Surv. 30(3), pp. 330-373, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, distributed object-oriented management, distributed, object-oriented databases, memory management, distributed file systems, distributed memories, network communication, reference counting |
13 | Abhijit K. Choudhury, Ellen L. Hahne |
A new buffer management scheme for hierarchical shared memory switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 5(5), pp. 728-738, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
backpressure, buffer memories, hierarchical switch, pushout, asynchronous transfer mode, memory management, shared memory systems, priorities, queuing analysis, losses |
13 | Alberto Faro, Daniela Giordano |
From Documenting Design to Design by Documenting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGDOC ![In: The 15th Annual International Conference of Computer Documentation: Crossroads in Communication, SIGDOC 1997, Salt Lake City, Utah, USA, October 19-22, 1997, pp. 45-54, 1997, ACM, 0-89791-861-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
design memories, case-based reasoning, scenario-based design |
13 | Bin Wei |
Comments on "A Multiaccess Frame Buffer Architecture". ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(7), pp. 862, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Frame buffers, parallel memory architecture, computer graphics, interleaved memories, storage schemes |
13 | Sihai Xiao, Xiaofa Shih, Guilang Feng, T. R. N. Rao |
A Generalization of the Single b-Bit Byte Error Correcting and Double Bit Error Detecting Codes for High-Speed Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(4), pp. 508-511, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
High-speed memories, byte error-correcting/detecting codes, companion matrix, subfields/cosets, primitive polynomials |
13 | Mayez A. Al-Mouhamed, Steven S. Seiden |
Minimization of Memory and Network Contention for Accessing Arbitrary Data Patterns in SIMD Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(6), pp. 757-762, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
NP-completeness, multistage networks, storage schemes, parallel memories, Memory conflicts |
13 | Avraham Leff, Joel L. Wolf, Philip S. Yu |
Efficient LRU-Based Buffering in a LAN Remote Caching Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(2), pp. 191-206, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
performance evaluation, local area networks, Memory management, memory hierarchies, distributed memories, distributed database systems |
13 | Douglas H. Summerville, José G. Delgado-Frias, Stamatis Vassiliadis |
A Flexible Bit-Pattern Associative Router for Interconnection Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(5), pp. 477-485, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Routing algorithm execution, adaptive routing and flexible routers, interconnection networks, associative memories, oblivious routing |
13 | Ad J. van de Goor, Georgi Gaydadjiev, V. G. Mikitjuk, Vyacheslav N. Yarmolik |
March LR: a test for realistic linked faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 272-280, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
disturb faults, March LR, March LRD, March LRDD, fault diagnosis, integrated circuit testing, fault models, fault coverage, march tests, integrated memory circuits, semiconductor memories, linked faults |
13 | Bapiraju Vinnakota |
Implementing Multiplication with Split Read-Only Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 44(11), pp. 1352-1356, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
table of squares, Multiplication, squares, table look-up, read only memories |
13 | Kay A. Robbins, Steven Robbins |
Buffered Banks in Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 44(4), pp. 518-530, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Buffered memories, logical memory banks, vector processors, Cray Y-MP, memory conflicts |
13 | Marc D. Riedel, Janusz Rajski |
Fault coverage analysis of RAM test algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 227-234, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
RAM test algorithms, flexible software analysis program, arbitrary test sequences, coverage statistics, functional cell-array faults, fault state transition conditions, representative fault classes, fault diagnosis, integrated circuit testing, fault coverage, random-access storage, integrated memory circuits, semiconductor memories, test algorithms |
13 | Wen-mei W. Hwu, Thomas M. Conte |
The Susceptibility of Programs to Context Switching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(9), pp. 994-1003, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
program susceptibility, memory system performance degradation, single-pass method, recurrence/conflict model, voluntary context switches, involuntary context switches, length distribution, address referencing, cache flushing, SPEC89 benchmarks, simulation, performance evaluation, performance analysis, memory hierarchy, cache memories, memory architecture, buffer storage, multiprogramming, multiprogramming, design space, context switching |
13 | Jean Vuillemin |
On Circuits and Numbers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(8), pp. 868-879, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
PROM, 2-adic integers, synchronous decision diagrams, BDD constructs, bit-serial circuits, reset signals, 2Z, arithmetic synthesis f, periodic binary constants, deeply binding synchronous enable, combinational circuit semantics, arbitrary precision, programmable active memories, specification languages, sequential circuits, combinational circuits, digital arithmetic, logic CAD, adders, digital circuits, arithmetic, combinatorial circuits, synchronous circuits, continuous functions, rational numbers |
13 | Montse Peiron, Mateo Valero, Eduard Ayguadé |
Synchronized access to streams in SIMD vector multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 23-32, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
SIMD vector multiprocessors, multi-module memories, vectors with constant stride, interconnection networks, conflict-free access |
13 | Jesse Zhixi Fang, Mi Lu |
An Iteration Partition Approach for Cache or Local Memory Thrashing on Parallel Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 42(5), pp. 529-546, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
iteration partition approach, local cache memory, write-invalidate protocol, local memory thrashing, nested parallel loops, array element accesses, enclosed loop indexes, correct iteration, nested loop structures, parallel programs, parallel programming, iterative methods, memory hierarchies, storage management, cache coherence, memory architecture, parallel loops, local memories, loop nests, parallel code |
13 | Dominique Thiébaut, Harold S. Stone |
Improving Disk Cache Hit-Ratios Through Cache Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 41(6), pp. 665-676, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
fully associative cache memories, buffer storage, adaptive algorithm, cache storage, content-addressable storage, cache partitioning, magnetic disc storage, hit-ratios, disk cache, queuing network model |
13 | Mateo Valero, Tomás Lang, Eduard Ayguadé |
Conflict-free access of vectors with power-of-two strides. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 6th international conference on Supercomputing, ICS 1992, Washington, DC, USA, July 19-24, 1992, pp. 149-156, 1992, ACM, 0-89791-485-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
multi-module memories, out-of-order access, power-of-two strides, vector processors, conflict-free access, storage schemes |
13 | Bruno Ciciani |
Redundancy effect on yield of binary tree RAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 2(3), pp. 293-306, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
semiconductor memory design, VLSI chip design, yield evaluation, Fault-tolerant memories |
13 | Kifung C. Cheung, Gurindar S. Sohi, Kewal K. Saluja, Dhiraj K. Pradhan |
Design and Analysis of a Gracefully Degrading Interleaved Memory System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 39(1), pp. 63-71, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
gracefully degrading interleaved memory system, digital storage, fault tolerant computing, trace-driven simulation, interleaved memories, reconfiguration scheme |
13 | René David, Antoine Fuentes, Bernard Courtois |
Random Pattern Testing Versus Deterministic Testing of RAM's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(5), pp. 637-650, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
random pattern testing, double faults, classical fault models, multiple-coupling faults, Markov chains, integrated circuit testing, Markov processes, random-access storage, RAMs, test patterns, parameters, random-access memories, pattern-sensitive faults, deterministic testing, single faults |
13 | Eric Regener |
A Transition Sequence Generator for RAM Fault Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 37(3), pp. 362-368, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
transition sequence generator, RAM fault detection, n-bit CMOS memories, test address sequence, ordered pair, next-state generator, integrated circuit testing, logic circuit, CMOS integrated circuits, random-access storage, integrated memory circuits |
13 | Harry A. G. Wijshoff, Jan van Leeuwen |
On Linear Skewing Schemes and d-Ordered Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 36(2), pp. 233-239, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
d-ordered vectors, linear skewing schemes, SIMD machines, Conflict-free access, parallel memories, two-dimensional arrays |
13 | James E. Smith, James R. Goodman |
Instruction Cache Replacement Policies and Organizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 34(3), pp. 234-241, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
fully associative, loop model, Cache memories, replacement algorithms, memory organization, direct-mapped, set-associative |
13 | Laxmi N. Bhuyan |
An Analysis of Processor-Memory Interconnection Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 34(3), pp. 279-283, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
multiprocessor performance, favorite memories, Bandwidth, multistage interconnection networks, crossbar switches |
13 | Balakrishna R. Iyer, J. Bartlett Sinclair |
Dynamic Memory Interconnections for Rapid Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 33(10), pp. 923-927, 1984. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
Access algorithm, interconnection networks, access times, dynamic memories |
13 | Larry A. Dunning, Murali R. Varanasi |
Code Constructions for Error Control in Byte Organized Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 32(6), pp. 535-542, 1983. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
SEC-BED codes, Byte errors, byte organization, package failures, fault tolerance, memories, error-correcting codes, error-detecting codes, linear codes |
13 | Christos A. Papachristou |
Direct Implementation of Discrete and Residue-Based Functions Via Optimal Encoding: A Programmable Array Logic Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 32(10), pp. 961-968, 1983. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
Addition and multiplication mod M, discrete functions, optimal residue encoding, PLA's, programmable array logic (PAL), residue-based functions, ROM's, VLSI, associative memories |
13 | Kin-Man Chung, Fabrizio Luccio, C. K. Wong |
On the Complexity of Sorting in Magnetic Bubble Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 29(7), pp. 553-563, 1980. The full citation details ...](Pics/full.jpeg) |
1980 |
DBLP DOI BibTeX RDF |
control states, sorting, switches, Analysis of algorithm, magnetic bubble memories |
13 | Malcolm C. Easton, Peter A. Franaszek |
Use Bit Scanning in Replacement Decisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 28(2), pp. 133-141, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
operating system overhead, page fault rate, paged memories, paged storage, page replacement algorithms, Miss ratio |
13 | B. Ramakrishna Rau |
Interleaved Memory Bandwidth in a Model of a Muyltiprocessor Computer System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 28(9), pp. 678-681, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
performance evaluation, multiprocessors, Analytical models, memory bandwidth, memory interference, interleaved memories |
13 | Bert Speelpenning, Jürg Nievergelt |
A Simple Model of Processor - Resource Utilization in Networks of Communicating Modules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 28(12), pp. 927-929, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
performance analysis, interaction model, resource utilization, shared resources, Interleaved memories |
13 | Sudhakar M. Reddy |
A Class of Linear Codes for Error Control in Byte-per-Card Organized Digital Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 27(5), pp. 455-459, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
byte-error detecting codes, byte-per-card systems, memories, linear codes, Binary codes |
13 | Brian Randell, C. J. Kuehner |
Dynamic storage allocation systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 11(5), pp. 297-306, 1968. The full citation details ...](Pics/full.jpeg) |
1968 |
DBLP DOI BibTeX RDF |
addressing mechanisms, storage fragmentation, segmentation, storage management, virtual memories, paging, multiprogramming, storage allocation, storage hierarchies |
13 | G. Oppenheimer, Norman Weizer |
Resource management for a medium scale time-sharing operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 11(5), pp. 313-322, 1968. The full citation details ...](Pics/full.jpeg) |
1968 |
DBLP DOI BibTeX RDF |
operating systems, resource management, memory management, task scheduling, virtual memories, paging, time-sharing, system simulation |
12 | Yibo Chen, Jishen Zhao, Yuan Xie 0001 |
3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 55-60, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
non-volatile FPGA, phase-change memory, 3D IC |
12 | Stamatis G. Kavadias, Manolis Katevenis, Michail Zampetakis, Dimitrios S. Nikolopoulos |
On-chip communication and synchronization mechanisms with cache-integrated network interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 217-226, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
explicit communication, inter-processor synchronization, cache, network interface |
12 | Kuo-Kun Tseng, Yuan-Cheng Lai, Ying-Dar Lin, Tsern-Huei Lee |
A fast scalable automaton-matching accelerator for embedded content processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 8(3), pp. 19:1-19:30, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Aho-Corasick, String matching, Bloom filter, automaton, content filtering |
12 | Masashi Ishibashi, Yoshio Nakatani |
Metadata-Based Reminder Classification in Reminiscence Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (8) ![In: Human Interface and the Management of Information. Designing Information Environments, Symposium on Human Interface 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009, Procceedings, Part I, pp. 412-418, 2009, Springer, 978-3-642-02555-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
ontology, classification, metadata, personal memory |
12 | Xinyu Li, Omar Hammami |
Small scale multiprocessor soft IP (SSM IP): single FPGA chip area and performance evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 278, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
fpga, multiprocessor, network on chip |
12 | Pei-Yu Chi, Xiao Xiao, Keywon Chung, Carnaven Chiu |
Burn your memory away: one-time use video capture and storage device to encourage memory appreciation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Proceedings of the 27th International Conference on Human Factors in Computing Systems, CHI 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009, pp. 2397-2406, 2009, ACM, 978-1-60558-247-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
appreciation, augmented object, burn, everyday object, matchstick, ubiquitous computing, memory, fire, video capture |
12 | Nikolaos Mavridis, Chandan Datta, Shervin Emami, Chiraz BenAbdelkader, Andry Tanoto, Tamer Rabie |
FaceBots: social robots utilizing facebook. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI ![In: Proceedings of the 4th ACM/IEEE International Conference on Human Robot Interaction, HRI 2009, La Jolla, California, USA, March 9-13, 2009, pp. 195-196, 2009, ACM, 978-1-60558-404-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
conversational robots, human-robot interaction, social robots |
12 | Nikolaos Mavridis, Chandan Datta, Shervin Emami, Andry Tanoto, Chiraz BenAbdelkader, Tamer Rabie |
FaceBots: robots utilizing and publishing social information in facebook. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI ![In: Proceedings of the 4th ACM/IEEE International Conference on Human Robot Interaction, HRI 2009, La Jolla, California, USA, March 9-13, 2009, pp. 273-274, 2009, ACM, 978-1-60558-404-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
conversational robots, human-robot interaction, social robots |
12 | Martin Dimitrov, Mike Mantor, Huiyang Zhou |
Understanding software approaches for GPGPU reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPGPU ![In: Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, GPGPU 2009, Washington, DC, USA, March 8, 2009, pp. 94-104, 2009, ACM, 978-1-60558-517-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reliability, GPGPU |
12 | Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel |
A Compact and Accurate Gaussian Variate Generator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(5), pp. 517-527, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi |
A Serial Memory by Quantum-Dot Cellular Automata (QCA). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 57(5), pp. 606-618, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
memory architecture, emerging technologies, QCA |
12 | Isabelle Bichindaritz |
Memory Structures and Organization in Case-Based Reasoning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Case-Based Reasoning on Images and Signals ![In: Case-Based Reasoning on Images and Signals, pp. 175-194, 2008, Springer, 978-3-540-73178-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Dominic Hillenbrand, Jörg Henkel |
Block cache for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 322-327, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Kazuteru Miyazaki, Shigenobu Kobayashi |
Proposal of Exploitation-Oriented Learning PS-r#. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAL ![In: Intelligent Data Engineering and Automated Learning - IDEAL 2008, 9th International Conference, Daejeon, South Korea, November 2-5, 2008, Proceedings, pp. 1-8, 2008, Springer, 978-3-540-88905-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Alain Berthoz |
Cognitive strategies for spatial memory of navigation: studies combining virtual reality and brain imaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2008, Bordeaux, France, October 27-29, 2008, pp. 16, 2008, ACM, 978-1-59593-951-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Wei Wang, Qigang Wang, Wei Wei, Dong Liu |
Evaluating Heterogeneous Memory Model by Realistic Trace-Driven Hardware/Software Co-simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 182-191, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Performance model, Memory architecture, Trace-driven simulation |
12 | Ying Yu, Raymond R. Hoare, Alex K. Jones |
A CAM-based intrusion detection system for single-packet attack detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-8, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Jiri Barnat, Lubos Brim, Stefan Edelkamp, Damian Sulewski, Pavel Simecek |
Can Flash Memory Help in Model Checking? ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMICS ![In: Formal Methods for Industrial Critical Systems, 13th International Workshop, FMICS 2008, L'Aquila, Italy, September 15-16, 2008, Revised Selected Papers, pp. 150-165, 2008, Springer, 978-3-642-03239-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Sain-Zee Ueng, Melvin Lathara, Sara S. Baghsorkhi, Wen-mei W. Hwu |
CUDA-Lite: Reducing GPU Programming Complexity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 21th International Workshop, LCPC 2008, Edmonton, Canada, July 31 - August 2, 2008, Revised Selected Papers, pp. 1-15, 2008, Springer, 978-3-540-89739-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Paul Pao-Fang Cheng |
A Knowledge-Based Tool for Generating and Verifying Hardware-Ready Embedded Memory Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 456-459, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Avijit Dutta, Abhijit Jas |
Combinational Logic Circuit Protection Using Customized Error Detecting and Correcting Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 68-73, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
EDAC, adhoc code, customizable codes, ECC |
12 | Balázs Ujfalussy, Péter Erös, Zoltán Somogyvári, Tamás Kiss |
Episodes in Space: A Modeling Study of Hippocampal Place Representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAB ![In: From Animals to Animats 10, 10th International Conference on Simulation of Adaptive Behavior, SAB 2008, Osaka, Japan, July 7-12, 2008. Proceedings, pp. 123-136, 2008, Springer, 978-3-540-69133-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
space representation, spatial memory, hippocampus, computational neuroscience, episodic memory, grid cell, place cell |
12 | Ching-Tsan Chiang, Yu-Bin Lin |
The learning convergence of High Dimension CMAC_GBF. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN ![In: Proceedings of the International Joint Conference on Neural Networks, IJCNN 2008, part of the IEEE World Congress on Computational Intelligence, WCCI 2008, Hong Kong, China, June 1-6, 2008, pp. 2333-2339, 2008, IEEE, 978-1-4244-1820-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 5730 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|