The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Hao Che, Zhijun Wang 0001, Kai Zheng 0003, Bin Liu 0001 DRES: Dynamic Range Encoding Scheme for TCAM Coprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Composite structures, Storage/repositories, Network repositories/data mining/backup, Associative memories
13Mazen Kharbutli, Yan Solihin Counter-Based Cache Replacement and Bypassing Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache Bypassing, Counter-Based Algorithms, Cache memories, Cache Replacement, Cache Misses
13Neila Mezghani, Amar Mitiche, Mohamed Cheriet Bayes Classification of Online Arabic Characters by Gibbs Modeling of Class Conditional Densities. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF and, Clustering, classification, association rules, Associative memories
13Gerard Oleksik, Lorna M. Brown Sonic gems: exploring the potential of audio recording as a form of sentimental memory capture. Search on Bibsonomy BCS HCI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF audio capture, memories, audio, sound
13Federico Neri, Angelo Priamo SPYWatch, Overcoming Linguistic Barriers in Information Management. Search on Bibsonomy EuroISI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF open source intelligence, multilingual lexicons, supervised clustering, natural language processing, machine translation, focused crawling, functional analysis, unsupervised clustering, morphological analysis, syntactic analysis, translation memories
13Luiza M. N. Coutinho, José Leandro D. Mendes, Carlos A. P. S. Martins Dynamically Reconfigurable Split Cache Architecture. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computer Architecture, Reconfigurable Computing, Cache memories
13Neta Aizenbud-Reshef, Eran Belinsky, Michal Jacovi, David Laufer, Vladimir Soroka Pensieve: augmenting human memory. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2008 DBLP  DOI  BibTeX  RDF augmenting memory, experience logging, pensieve, mobile phones, sharing memories
13Mario Aldape-Pérez, Israel Román-Godínez, Oscar Camacho Nieto Thresholded Learning Matrix for Efficient Pattern Recalling. Search on Bibsonomy CIARP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Lernmatrix, Supervised Learning, Pattern Classification, Associative Memories, Dynamic Threshold
13Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Utilizing shared data in chip multiprocessors with the nahalal architecture. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, cache memories
13Yutao Zhong 0001, Steven G. Dropsho, Xipeng Shen, Ahren Studer, Chen Ding 0001 Miss Rate Prediction Across Program Inputs and Cache Configurations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimization, compilers, Cache memories, modeling techniques, performance analysis and design aids
13Jaydeep Marathe, Frank Mueller 0001 Source-Code-Correlated Cache Coherence Characterization of OpenMP Benchmarks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation, Cache memories, SMPs, program instrumentation, coherence protocols, dynamic binary rewriting
13Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler A NUCA Substrate for Flexible CMP Cache Sharing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiprocessor systems, cache memories, adaptable architectures
13Ricardo Barrón, Humberto Sossa, Benjamín Cruz A New Algorithm for Training Multi-layered Morphological Networks. Search on Bibsonomy CIARP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF maximal support neighborhoods, Associative memories, Morphological neural networks
13Rui M. Jesus, Ricardo J. Dias, Rute Frias, Nuno Correia 0001 Geographic image retrieval in mobile guides. Search on Bibsonomy GIR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF geographic image retrieval, mobile guides, personal memories
13Sandro Romani, Daniel J. Amit, Gianluigi Mongillo Mean-field analysis of selective persistent activity in presence of short-term synaptic depression. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mean-field analysis, Overlapping memories, Selective persistent activity, STD, Spiking neuron
13Moon-Hee Choi, Woo-Chan Park, Francis Neelamkavil, Tack-Don Han, Shin-Dug Kim An Effective Visibility Culling Method Based on Cache Block. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF visible/surface algorithms, Computer graphics, cache memories, graphics processors
13Hwansoo Han, Chau-Wen Tseng Exploiting Locality for Irregular Scientific Codes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inspector/executor, data reordering, computation reordering, Compiler optimization, cache memories
13Vilas Sridharan, Hossein Asadi 0001, Mehdi Baradaran Tahoori, David R. Kaeli Reducing Data Cache Susceptibility to Soft Errors. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF refresh, refetch, Fault tolerance, reliability, cache memories, soft errors, error modeling
13Corina Sas, Alan J. Dix Designing for collective remembering. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory technology, interaction design, episodic memory, collective memories
13Jaume Abella 0001, Antonio González 0001 Heterogeneous way-size cache. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive, low power, cache memories, set-associative
13Cameron McNairy, Rohit Bhatia Montecito: A Dual-Core, Dual-Thread Itanium Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Reliability, Power Management, Cache memories, Multithreaded processors, Testing and Fault-Tolerance
13Philip Machanick The Value of a Small Microkernel for Dreamy Memory and the RAMpage Memory Hierarchy. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power design, cache memories, virtual memory, microkernels, main memory
13Konstantinos Koutroumbas COMAX: A Cooperative Method for Determining the Position of the Maxima. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF feedforward neural network architectures, Hamming Max-net, recurrent neural network architectures, selection of the position of the maximum, associative memories
13Jaume Abella 0001, Antonio González 0001, Xavier Vera, Michael F. P. O'Boyle IATAC: a smart predictor to turn-off L2 cache lines. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF turning off cache lines, low power, Cache memories, L2 cache
13Gian Carlo Cardarilli, Fabrizio Lombardi, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano A Comparative Evaluation of Designs for Reliable Memory Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mass memories, fault tolerance, Markov model, reed solomon codes
13Philip Jacob 0001, Okan Erdogan, Aamir Zia, Paul M. Belemjian, Russell P. Kraft, John F. McDonald 0001 Predicting the Performance of a 3D Processor-Memory Chip Stack. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Simulation, Cache memories, Performance of Systems
13Akihito Sakanaka, Seiichirou Fujii, Toshinori Sato A leakage-energy-reduction technique for highly-associative caches in embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memories, embedded processors, leakage current
13Jingling Xue, Xavier Vera Efficient and Accurate Analytical Modeling of Whole-Program Data Cache Behavior. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, analytical modeling, cache memories, data locality, Modeling techniques
13Victor M. DeLaLuz, Ismail Kadayif, Mahmut T. Kandemir, Ugur Sezer Access Pattern Restructuring for Memory Energy. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF banked memories, embedded systems, Compiler optimization, energy consumption, access pattern
13Kubilay Atasu, Luca Breveglieri, Marco Macchetti Efficient AES implementations for ARM based platforms. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ARM microprocessor, AES, cache memories, code optimisation
13Antonis Papanikolaou, Miguel Miranda, Francky Catthoor Overcoming the "Memory Wall" by improved system design exploration and a link to process technology options. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF combined system design and process technology exploration, optimal energy/delay trade-off exploration in memories
13Michel Dubois 0001 Fighting the memory wall with assisted execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance
13Yiannis Andreopoulos, Peter Schelkens, Gauthier Lafruit, Kostas Masselos, Jan Cornelis 0001 High-Level Cache Modeling for 2-D Discrete Wavelet Transform Implementations. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF discrete wavelet transform implementations, cache memories, theoretical modeling
13Wei Zhang 0002, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin Performance, energy, and reliability tradeoffs in replicating hot cache lines. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cache reliability, line replication, cache memories, leakage power
13Carlos Molina, Carles Aliagas, Montse Garcia 0002, Antonio González 0001, Jordi Tubella Non redundant data cache. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF value replication, low power, compression, cache memories
13Sybille Hellebrand, Hans-Joachim Wunderlich, Alexander A. Ivaniuk, Yuri V. Klimets, Vyacheslav N. Yarmolik Efficient Online and Offline Testing of Embedded DRAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF online checking, BIST, systems-on-a-chip, Embedded memories
13Premkumar T. Devanbu, Stuart G. Stubblebine Stack and Queue Integrity on Hostile Platforms. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF correctness of memories, oblivious ram, security, data structures, software protection
13Victor De La Luz, Mahmut T. Kandemir, Ibrahim Kolcu Automatic data migration for reducing energy consumption in multi-bank memory systems. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multi-bank memories, energy consumption, data migration
13Claude Limousin, Julien Sébot, Alexis Vartanian, Nathalie Drach-Temam Improving 3D geometry transformations on a simultaneous multithreaded SIMD processor. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF SIMD extensions, cache memories, parallel rendering, data prefetching, SMT, applications specific architectures
13Alexis Vartanian, Jean-Luc Béchennec, Nathalie Drach-Temam The Best Distribution for a Parallel OpenGL 3D Engine with Texture Caches. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF texture mapping, Cache memories, parallel rendering, multiprocessing, application specific architecture
13Tong Sun, Qing Yang 0001 A Comparative Analysis of Cache Designs for Vector Processing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF simulation, Performance evaluation, benchmarks, memory hierarchy, cache memories, vector processing
13Somnath Ghosh, Margaret Martonosi, Sharad Malik Cache miss equations: a compiler framework for analyzing and tuning memory behavior. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF optimization, compilation, program transformation, cache memories
13Saleh E. Abdullahi, Graem A. Ringwood Garbage Collecting the Internet: A Survey of Distributed Garbage Collection. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF automatic storage reclamation, distributed object-oriented management, distributed, object-oriented databases, memory management, distributed file systems, distributed memories, network communication, reference counting
13Abhijit K. Choudhury, Ellen L. Hahne A new buffer management scheme for hierarchical shared memory switches. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF backpressure, buffer memories, hierarchical switch, pushout, asynchronous transfer mode, memory management, shared memory systems, priorities, queuing analysis, losses
13Alberto Faro, Daniela Giordano From Documenting Design to Design by Documenting. Search on Bibsonomy SIGDOC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF design memories, case-based reasoning, scenario-based design
13Bin Wei Comments on "A Multiaccess Frame Buffer Architecture". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Frame buffers, parallel memory architecture, computer graphics, interleaved memories, storage schemes
13Sihai Xiao, Xiaofa Shih, Guilang Feng, T. R. N. Rao A Generalization of the Single b-Bit Byte Error Correcting and Double Bit Error Detecting Codes for High-Speed Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF High-speed memories, byte error-correcting/detecting codes, companion matrix, subfields/cosets, primitive polynomials
13Mayez A. Al-Mouhamed, Steven S. Seiden Minimization of Memory and Network Contention for Accessing Arbitrary Data Patterns in SIMD Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF NP-completeness, multistage networks, storage schemes, parallel memories, Memory conflicts
13Avraham Leff, Joel L. Wolf, Philip S. Yu Efficient LRU-Based Buffering in a LAN Remote Caching Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF performance evaluation, local area networks, Memory management, memory hierarchies, distributed memories, distributed database systems
13Douglas H. Summerville, José G. Delgado-Frias, Stamatis Vassiliadis A Flexible Bit-Pattern Associative Router for Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Routing algorithm execution, adaptive routing and flexible routers, interconnection networks, associative memories, oblivious routing
13Ad J. van de Goor, Georgi Gaydadjiev, V. G. Mikitjuk, Vyacheslav N. Yarmolik March LR: a test for realistic linked faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF disturb faults, March LR, March LRD, March LRDD, fault diagnosis, integrated circuit testing, fault models, fault coverage, march tests, integrated memory circuits, semiconductor memories, linked faults
13Bapiraju Vinnakota Implementing Multiplication with Split Read-Only Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF table of squares, Multiplication, squares, table look-up, read only memories
13Kay A. Robbins, Steven Robbins Buffered Banks in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Buffered memories, logical memory banks, vector processors, Cray Y-MP, memory conflicts
13Marc D. Riedel, Janusz Rajski Fault coverage analysis of RAM test algorithms. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RAM test algorithms, flexible software analysis program, arbitrary test sequences, coverage statistics, functional cell-array faults, fault state transition conditions, representative fault classes, fault diagnosis, integrated circuit testing, fault coverage, random-access storage, integrated memory circuits, semiconductor memories, test algorithms
13Wen-mei W. Hwu, Thomas M. Conte The Susceptibility of Programs to Context Switching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF program susceptibility, memory system performance degradation, single-pass method, recurrence/conflict model, voluntary context switches, involuntary context switches, length distribution, address referencing, cache flushing, SPEC89 benchmarks, simulation, performance evaluation, performance analysis, memory hierarchy, cache memories, memory architecture, buffer storage, multiprogramming, multiprogramming, design space, context switching
13Jean Vuillemin On Circuits and Numbers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF PROM, 2-adic integers, synchronous decision diagrams, BDD constructs, bit-serial circuits, reset signals, 2Z, arithmetic synthesis f, periodic binary constants, deeply binding synchronous enable, combinational circuit semantics, arbitrary precision, programmable active memories, specification languages, sequential circuits, combinational circuits, digital arithmetic, logic CAD, adders, digital circuits, arithmetic, combinatorial circuits, synchronous circuits, continuous functions, rational numbers
13Montse Peiron, Mateo Valero, Eduard Ayguadé Synchronized access to streams in SIMD vector multiprocessors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF SIMD vector multiprocessors, multi-module memories, vectors with constant stride, interconnection networks, conflict-free access
13Jesse Zhixi Fang, Mi Lu An Iteration Partition Approach for Cache or Local Memory Thrashing on Parallel Processing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF iteration partition approach, local cache memory, write-invalidate protocol, local memory thrashing, nested parallel loops, array element accesses, enclosed loop indexes, correct iteration, nested loop structures, parallel programs, parallel programming, iterative methods, memory hierarchies, storage management, cache coherence, memory architecture, parallel loops, local memories, loop nests, parallel code
13Dominique Thiébaut, Harold S. Stone Improving Disk Cache Hit-Ratios Through Cache Partitioning. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF fully associative cache memories, buffer storage, adaptive algorithm, cache storage, content-addressable storage, cache partitioning, magnetic disc storage, hit-ratios, disk cache, queuing network model
13Mateo Valero, Tomás Lang, Eduard Ayguadé Conflict-free access of vectors with power-of-two strides. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multi-module memories, out-of-order access, power-of-two strides, vector processors, conflict-free access, storage schemes
13Bruno Ciciani Redundancy effect on yield of binary tree RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF semiconductor memory design, VLSI chip design, yield evaluation, Fault-tolerant memories
13Kifung C. Cheung, Gurindar S. Sohi, Kewal K. Saluja, Dhiraj K. Pradhan Design and Analysis of a Gracefully Degrading Interleaved Memory System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF gracefully degrading interleaved memory system, digital storage, fault tolerant computing, trace-driven simulation, interleaved memories, reconfiguration scheme
13René David, Antoine Fuentes, Bernard Courtois Random Pattern Testing Versus Deterministic Testing of RAM's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF random pattern testing, double faults, classical fault models, multiple-coupling faults, Markov chains, integrated circuit testing, Markov processes, random-access storage, RAMs, test patterns, parameters, random-access memories, pattern-sensitive faults, deterministic testing, single faults
13Eric Regener A Transition Sequence Generator for RAM Fault Detection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF transition sequence generator, RAM fault detection, n-bit CMOS memories, test address sequence, ordered pair, next-state generator, integrated circuit testing, logic circuit, CMOS integrated circuits, random-access storage, integrated memory circuits
13Harry A. G. Wijshoff, Jan van Leeuwen On Linear Skewing Schemes and d-Ordered Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF d-ordered vectors, linear skewing schemes, SIMD machines, Conflict-free access, parallel memories, two-dimensional arrays
13James E. Smith, James R. Goodman Instruction Cache Replacement Policies and Organizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF fully associative, loop model, Cache memories, replacement algorithms, memory organization, direct-mapped, set-associative
13Laxmi N. Bhuyan An Analysis of Processor-Memory Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF multiprocessor performance, favorite memories, Bandwidth, multistage interconnection networks, crossbar switches
13Balakrishna R. Iyer, J. Bartlett Sinclair Dynamic Memory Interconnections for Rapid Access. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Access algorithm, interconnection networks, access times, dynamic memories
13Larry A. Dunning, Murali R. Varanasi Code Constructions for Error Control in Byte Organized Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF SEC-BED codes, Byte errors, byte organization, package failures, fault tolerance, memories, error-correcting codes, error-detecting codes, linear codes
13Christos A. Papachristou Direct Implementation of Discrete and Residue-Based Functions Via Optimal Encoding: A Programmable Array Logic Approach. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Addition and multiplication mod M, discrete functions, optimal residue encoding, PLA's, programmable array logic (PAL), residue-based functions, ROM's, VLSI, associative memories
13Kin-Man Chung, Fabrizio Luccio, C. K. Wong On the Complexity of Sorting in Magnetic Bubble Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF control states, sorting, switches, Analysis of algorithm, magnetic bubble memories
13Malcolm C. Easton, Peter A. Franaszek Use Bit Scanning in Replacement Decisions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF operating system overhead, page fault rate, paged memories, paged storage, page replacement algorithms, Miss ratio
13B. Ramakrishna Rau Interleaved Memory Bandwidth in a Model of a Muyltiprocessor Computer System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF performance evaluation, multiprocessors, Analytical models, memory bandwidth, memory interference, interleaved memories
13Bert Speelpenning, Jürg Nievergelt A Simple Model of Processor - Resource Utilization in Networks of Communicating Modules. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF performance analysis, interaction model, resource utilization, shared resources, Interleaved memories
13Sudhakar M. Reddy A Class of Linear Codes for Error Control in Byte-per-Card Organized Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF byte-error detecting codes, byte-per-card systems, memories, linear codes, Binary codes
13Brian Randell, C. J. Kuehner Dynamic storage allocation systems. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF addressing mechanisms, storage fragmentation, segmentation, storage management, virtual memories, paging, multiprogramming, storage allocation, storage hierarchies
13G. Oppenheimer, Norman Weizer Resource management for a medium scale time-sharing operating system. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF operating systems, resource management, memory management, task scheduling, virtual memories, paging, time-sharing, system simulation
12Yibo Chen, Jishen Zhao, Yuan Xie 0001 3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF non-volatile FPGA, phase-change memory, 3D IC
12Stamatis G. Kavadias, Manolis Katevenis, Michail Zampetakis, Dimitrios S. Nikolopoulos On-chip communication and synchronization mechanisms with cache-integrated network interfaces. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF explicit communication, inter-processor synchronization, cache, network interface
12Kuo-Kun Tseng, Yuan-Cheng Lai, Ying-Dar Lin, Tsern-Huei Lee A fast scalable automaton-matching accelerator for embedded content processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Aho-Corasick, String matching, Bloom filter, automaton, content filtering
12Masashi Ishibashi, Yoshio Nakatani Metadata-Based Reminder Classification in Reminiscence Engineering. Search on Bibsonomy HCI (8) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ontology, classification, metadata, personal memory
12Xinyu Li, Omar Hammami Small scale multiprocessor soft IP (SSM IP): single FPGA chip area and performance evaluation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, multiprocessor, network on chip
12Pei-Yu Chi, Xiao Xiao, Keywon Chung, Carnaven Chiu Burn your memory away: one-time use video capture and storage device to encourage memory appreciation. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2009 DBLP  DOI  BibTeX  RDF appreciation, augmented object, burn, everyday object, matchstick, ubiquitous computing, memory, fire, video capture
12Nikolaos Mavridis, Chandan Datta, Shervin Emami, Chiraz BenAbdelkader, Andry Tanoto, Tamer Rabie FaceBots: social robots utilizing facebook. Search on Bibsonomy HRI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF conversational robots, human-robot interaction, social robots
12Nikolaos Mavridis, Chandan Datta, Shervin Emami, Andry Tanoto, Chiraz BenAbdelkader, Tamer Rabie FaceBots: robots utilizing and publishing social information in facebook. Search on Bibsonomy HRI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF conversational robots, human-robot interaction, social robots
12Martin Dimitrov, Mike Mantor, Huiyang Zhou Understanding software approaches for GPGPU reliability. Search on Bibsonomy GPGPU The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, GPGPU
12Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel A Compact and Accurate Gaussian Variate Generator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi A Serial Memory by Quantum-Dot Cellular Automata (QCA). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory architecture, emerging technologies, QCA
12Isabelle Bichindaritz Memory Structures and Organization in Case-Based Reasoning. Search on Bibsonomy Case-Based Reasoning on Images and Signals The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Dominic Hillenbrand, Jörg Henkel Block cache for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Kazuteru Miyazaki, Shigenobu Kobayashi Proposal of Exploitation-Oriented Learning PS-r#. Search on Bibsonomy IDEAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Alain Berthoz Cognitive strategies for spatial memory of navigation: studies combining virtual reality and brain imaging. Search on Bibsonomy VRST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Wei Wang, Qigang Wang, Wei Wei, Dong Liu Evaluating Heterogeneous Memory Model by Realistic Trace-Driven Hardware/Software Co-simulation. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Performance model, Memory architecture, Trace-driven simulation
12Ying Yu, Raymond R. Hoare, Alex K. Jones A CAM-based intrusion detection system for single-packet attack detection. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jiri Barnat, Lubos Brim, Stefan Edelkamp, Damian Sulewski, Pavel Simecek Can Flash Memory Help in Model Checking? Search on Bibsonomy FMICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sain-Zee Ueng, Melvin Lathara, Sara S. Baghsorkhi, Wen-mei W. Hwu CUDA-Lite: Reducing GPU Programming Complexity. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Paul Pao-Fang Cheng A Knowledge-Based Tool for Generating and Verifying Hardware-Ready Embedded Memory Models. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Avijit Dutta, Abhijit Jas Combinational Logic Circuit Protection Using Customized Error Detecting and Correcting Codes. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF EDAC, adhoc code, customizable codes, ECC
12Balázs Ujfalussy, Péter Erös, Zoltán Somogyvári, Tamás Kiss Episodes in Space: A Modeling Study of Hippocampal Place Representation. Search on Bibsonomy SAB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF space representation, spatial memory, hippocampus, computational neuroscience, episodic memory, grid cell, place cell
12Ching-Tsan Chiang, Yu-Bin Lin The learning convergence of High Dimension CMAC_GBF. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 5730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license