|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3849 occurrences of 1991 keywords
|
|
|
Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
11 | Anh Tuan Hoang, Katsuhiro Yamazaki, Shigeru Oyanagi |
Multi-stage Pipelining MD5 Implementations on FPGA with Data Forwarding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2008, 14-15 April 2008, Stanford, Palo Alto, California, USA, pp. 271-272, 2008, IEEE Computer Society, 978-0-7695-3307-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Hua Bai, Lixin Gao |
A Vague Sets Based Hierarchical Synthetic Evaluation Algorithm for Health Condition Assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 4th International Conference on Intelligent Computing, ICIC 2008, Shanghai, China, September 15-18, 2008, Proceedings, pp. 912-920, 2008, Springer, 978-3-540-87440-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
hierarchical synthetic evaluation algorithm, health condition, multi-source information fusion, vague sets |
11 | Manjunath Kudlur, Scott A. Mahlke |
Orchestrating the execution of stream programs on multicore platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2008 Conference on Programming Language Design and Implementation, Tucson, AZ, USA, June 7-13, 2008, pp. 114-124, 2008, ACM, 978-1-59593-860-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multicore, software pipelining, cell processor, stream programming, streamit |
11 | B. V. N. Silpa, Anjul Patney, Tushar Krishna, Preeti Ranjan Panda, G. S. Visweswaran |
Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 559-564, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Shengyuan Jiang, Xudong Jiang, Jie Lu, Jianyong Li, Xiangyan Lv |
Research on a Tri-axial Differential-Drive In-Pipe Robot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIRA (1) ![In: Intelligent Robotics and Applications, First International Conference, ICIRA 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part I, pp. 1031-1040, 2008, Springer, 978-3-540-88512-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
in-pipe robot, elbow, differential drive, tri-axial differential mechanism |
11 | Michal Plotkowiak, Blanca Rodríguez, Gernot Plank, Jürgen E. Schneider, David Gavaghan, Peter Kohl, Vicente Grau |
High Performance Computer Simulations of Cardiac Electrical Function Based on High Resolution MRI Datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS (1) ![In: Computational Science - ICCS 2008, 8th International Conference, Kraków, Poland, June 23-25, 2008, Proceedings, Part I, pp. 571-580, 2008, Springer, 978-3-540-69383-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Takeshi Sugawara 0001, Naofumi Homma, Takafumi Aoki, Akashi Satoh |
Compact ASIC Architectures for the 512-Bit Hash Function Whirlpool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISA ![In: Information Security Applications, 9th International Workshop, WISA 2008, Jeju Island, Korea, September 23-25, 2008, Revised Selected Papers, pp. 28-40, 2008, Springer, 978-3-642-00305-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Hash function, Hardware architecture, Whirlpool, Cryptographic hardware |
11 | Jeff Pool, Anselmo Lastra, Montek Singh |
Energy-precision tradeoffs in mobile Graphics Processing Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 26th International Conference on Computer Design, ICCD 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings, pp. 60-67, 2008, IEEE Computer Society, 978-1-4244-2657-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Hai Bing Yin, Xi Zhong Lou, Zhe Lei Xia, Wen Gao 0001 |
An efficient VLSI architecture for rate disdortion optimization in AVS video encoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 2805-2808, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee |
A hybrid self-testing methodology of processor cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 3378-3381, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Xunying Zhang, Xubang Shen |
A Power-Efficient Floating-Point Co-processor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (4) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 4: Embedded Programming / Database Technology / Neural Networks and Applications / Other Applications, December 12-14, 2008, Wuhan, China, pp. 75-78, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Daniel P. Lopresti |
Optical character recognition errors and their effects on natural language processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AND ![In: Proceedings of the Second Workshop on Analytics for Noisy Unstructured Text Data, AND 2008, Singapore, July 24, 2008, pp. 9-16, 2008, ACM, 978-1-60558-196-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
performance evaluation, optical character recognition, tokenization, part-of-speech tagging, sentence boundary detection |
11 | HongKee Moon, Auguste Genovesio |
IM.Grid, a Grid computing approach for Image Mining of High Throughput-High Content Screening. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GRID ![In: 9th IEEE/ACM International Conference on Grid Computing (Grid 2008), Tsukuba, Japan, September 29 - October 1, 2008, pp. 334-339, 2008, IEEE Computer Society, 978-1-4244-2578-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Eui-Young Chung, Cheol Hong Kim, Sung Woo Chung |
An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 4th IEEE International Symposium on Electronic Design, Test and Applications, DELTA 2008, Hong Kong, January 23-25, 2008, pp. 190-195, 2008, IEEE Computer Society, 978-0-7695-3110-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
way predictioin, low power, Instruction cache |
11 | Chung-Ho Chen, Chih-Kai Wei, Tai-Hua Lu, Hsun-Wei Gao |
Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(5), pp. 505-517, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Montek Singh, Steven M. Nowick |
The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(11), pp. 1256-1269, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Lydia Ng, Sayan D. Pathak, Chihchau Kuan, Christopher Lau, Hong-wei Dong, Andrew Sodt, Chinh Dang, Brian B. Avants, Paul A. Yushkevich, James C. Gee, David R. Haynor, Ed S. Lein, Allan Jones, Michael Hawrylycz |
Neuroinformatics for Genome-Wide 3-D Gene Expression Mapping in the Mouse Brain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE ACM Trans. Comput. Biol. Bioinform. ![In: IEEE ACM Trans. Comput. Biol. Bioinform. 4(3), pp. 382-393, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Data mining, Information Visualization, Segmentation, Registration, Bioinformatics (genome or protein) databases |
11 | Kuizhi Mei, Nanning Zheng 0001, Chang Huang, Yuehu Liu, Qiang Zeng |
VLSI Design of a High-Speed and Area-Efficient JPEG2000 Encoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 17(8), pp. 1065-1078, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Xinyu Zhang 0002, Young J. Kim |
Interactive Collision Detection for Deformable Models Using Streaming AABBs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 13(2), pp. 318-329, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
AABB, Collision detection, deformable models, programmable graphics hardware, streaming computations |
11 | Cheng-Yi Xiong, Jin-Wen Tian, Jian Liu 0011 |
Efficient Architectures for Two-Dimensional Discrete Wavelet Transform Using Lifting Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 16(3), pp. 607-614, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Stephen Roderick Hines, Gary S. Tyson, David B. Whalley |
Addressing instruction fetch bottlenecks by using an instruction register file. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 165-174, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
L0/filter cache, instruction packing, instruction register file |
11 | Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August |
Speculative Decoupled Software Pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 49-59, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Biörn Biörnstad, Cesare Pautasso |
Let It Flow: Building Mashups with Data Processing Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSOC Workshops ![In: Service-Oriented Computing - ICSOC 2007 Workshops, International Workshops, Vienna, Austria, September 17, 2007, Revised Selected Papers, pp. 15-28, 2007, Springer, 978-3-540-93850-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Massimo Rovini, Giuseppe Gentile, Francesco Rossi, Luca Fanucci |
A minimum-latency block-serial architecture of a decoder for IEEE 802.11n LDPC codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: IFIP VLSI-SoC 2007, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Atlanta, GA, USA, 15-17 October 2007, pp. 236-241, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 |
Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1532-1537, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Qiang Zhu 0008, Aviral Shrivastava, Nikil D. Dutt |
Interactive presentation: Functional and timing validation of partially bypassed processor pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1164-1169, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Benjamin C. Lee, David M. Brooks |
Illustrative Design Space Studies with Microarchitectural Regression Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 340-351, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Paul Racunas, Kypros Constantinides, Srilatha Manne, Shubhendu S. Mukherjee |
Perturbation-based Fault Screening. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 169-180, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Gurkan Koldas, Veysi Isler, Rynson W. H. Lau |
Six Degrees of Freedom Incremental Occlusion Horizon Culling Method for Urban Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (1) ![In: Advances in Visual Computing, Third International Symposium, ISVC 2007, Lake Tahoe, NV, USA, November 26-28, 2007, Proceedings, Part I, pp. 792-803, 2007, Springer, 978-3-540-76857-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Mark J. Prusten |
Motion picture production facility with liquid cooled 512 processor mobile super computing vehicle and virtual reality environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2007, Newport Beach, California, USA, November 5-7, 2007, pp. 235-236, 2007, ACM, 978-1-59593-863-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Son Dao Trong, Martin S. Schmookler, Eric M. Schwarz, Michael Kroener |
P6 Binary Floating-Point Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 18th IEEE Symposium on Computer Arithmetic (ARITH-18 2007), 25-27 June 2007, Montpellier, France, pp. 77-86, 2007, IEEE Computer Society, 0-7695-2854-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
denormal result handling, aggressive data forwarding, high-frequency design, data processing without stalls, Floating-point unit |
11 | Philip Garcia, Henry F. Korth |
Pipelined hash-join on multithreaded architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DaMoN ![In: Workshop on Data Management on New Hardware, DaMoN 2007, Beijing, China, June 15, 2007, pp. 1, 2007, ACM, 978-1-59593-772-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Sheldon Andrews, Jochen Lang 0001 |
Interactive Scanning of Haptic Textures and Surface Compliance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DIM ![In: Sixth International Conference on 3-D Digital Imaging and Modeling, 3DIM 2007, 21-23 August 2007, Montreal, Quebec, Canada, pp. 99-106, 2007, IEEE Computer Society, 0-7695-2939-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Hirofumi Iwato, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai |
A low power VLIW processor generation method by means of extracting non-redundant activation conditions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 227-232, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
low power, ASIP, clock gating, VLIW processor |
11 | Andrew Danner, Thomas Mølhave, Ke Yi 0001, Pankaj K. Agarwal, Lars Arge, Helena Mitásová |
TerraStream: from elevation data to watershed hierarchies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIS ![In: 15th ACM International Symposium on Geographic Information Systems, ACM-GIS 2007, November 7-9, 2007, Seattle, Washington, USA, Proceedings, pp. 28, 2007, ACM, 978-1-59593-914-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
terrain modeling |
11 | Carlos D. Correa, Deborah Silver |
Programmable shaders for deformation rendering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Graphics Hardware ![In: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on Graphics Hardware 2007, San Diego, California, USA, August 4-5, 2007, pp. 89-96, 2007, Eurographics Association, 978-1-59593-625-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Magnus Strengert, Thomas Klein, Thomas Ertl |
A hardware-aware debugger for the OpenGL shading language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Graphics Hardware ![In: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on Graphics Hardware 2007, San Diego, California, USA, August 4-5, 2007, pp. 81-88, 2007, Eurographics Association, 978-1-59593-625-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Yong Dou, Jinbo Xu |
FPGA-Accelerated Active Shape Model for Real-Time People Tracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 12th Asia-Pacific Conference, ACSAC 2007, Seoul, Korea, August 23-25, 2007, Proceedings, pp. 268-279, 2007, Springer, 978-3-540-74308-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
FPGA, Active Shape Model, People Tracking |
11 | Taehyun Rhee, John P. Lewis, Ulrich Neumann, Krishna S. Nayak |
Soft-Tissue Deformation for In Vivo Volume Animation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PG ![In: 15th Pacific Conference on Computer Graphics and Applications, PG 2007, Maui, HI, USA, October 29 - November 2, 2007, pp. 435-438, 2007, IEEE Computer Society, 978-0-7695-3009-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Moriyoshi Ohara, Hangu Yeo, Frank Savino, Giridharan Iyengar, Leiguang Gong, Hiroshi Inoue, Hideaki Komatsu, Vadim Sheinin, Shahrokh Daijavad |
Accelerating Mutual-Information-Based Linear Registration on the Cell Broadband Engine Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, ICME 2007, July 2-5, 2007, Beijing, China, pp. 272-275, 2007, IEEE Computer Society, 1-4244-1017-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Mathys Walma |
Pipelined Cyclic Redundancy Check (CRC) Calculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 16th International Conference on Computer Communications and Networks, IEEE ICCCN 2007, Turtle Bay Resort, Honolulu, Hawaii, USA, August 13-16, 2007, pp. 365-370, 2007, IEEE, 978-1-4244-1251-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Haider Ali, Bashir M. Al-Hashimi |
Architecture Level Power-Performance Tradeoffs for Pipelined Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 1791-1794, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Ramin Zanbaghi, Seyed Mojtaba Atarodi, Armin Tajalli |
A Power Optimized Base-Band Circuitry for the Low-IF Receivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 1693-1696, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 |
Tolerance to Small Delay Defects by Adaptive Clock Stretching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 8-11 July 2007, Heraklion, Crete, Greece, pp. 244-252, 2007, IEEE Computer Society, 0-7695-2918-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Gao Shu, Nick J. Avis |
Workflow-Based Distributed Visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCC ![In: Grid and Cooperative Computing, Sixth International Conference on Grid and Cooperative Computing, GCC 2007, August 16-18, 2007, Urumchi, Xinjiang, China, Proceedings, pp. 713-720, 2007, IEEE Computer Society, 0-7695-2871-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Thomas Kottke, Andreas Steininger |
A Fail-Silent Reconfigurable Superscalar Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 17-19 December, 2007, Melbourne, Victoria, Australia, pp. 232-239, 2007, IEEE Computer Society, 0-7695-3054-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope |
High Level Modelling and Design For a Microthreaded Scheduler to Support Microgrids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICCSA ![In: 2007 IEEE/ACS International Conference on Computer Systems and Applications (AICCSA 2007), 13-16 May 2007, Amman, Jordan, pp. 301-308, 2007, IEEE Computer Society, 1-4244-1030-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Andrew D. Hilton, Amir Roth |
Ginger: control independence using tag rewriting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 436-447, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
control independence, out-of-order renaming, selective re-dispatch, branch misprediction |
11 | Jiang Long, Andrew Seawright |
Synthesizing SVA Local Variables for Formal Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 75-80, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Alexander J. Macdonald, David F. Brailsford, Steven R. Bagley, John William Lumley |
Speculative document evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on Document Engineering ![In: Proceedings of the 2007 ACM Symposium on Document Engineering, Winnipeg, Manitoba, Canada, August 28-31, 2007, pp. 56-58, 2007, ACM, 978-1-59593-776-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
VDP, speculative evaluation, optimisation, SVG, document layout, PPML |
11 | Tae-Young Kim, Jongho Kim, Hyunmin Hur |
A Unified Shader Based on the OpenGL ES 2.0 for 3D Mobile Game Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Edutainment ![In: Technologies for E-Learning and Digital Entertainment, Second International Conference, Edutainment 2007, Hong Kong, China, June 11-13, 2007, Proceedings, pp. 898-903, 2007, Springer, 978-3-540-73010-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Ming Zhang 0017, Subhasish Mitra, T. M. Mak, Norbert Seifert, Nicholas J. Wang, Quan Shi, Kee Sup Kim, Naresh R. Shanbhag, Sanjay J. Patel |
Sequential Element Design With Built-In Soft Error Resilience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(12), pp. 1368-1378, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Athanasios Kakarountas, Haralambos Michail, Athanasios Milidonis, Costas E. Goutis, George Theodoridis |
High-Speed FPGA Implementation of Secure Hash Algorithm for IPSec and VPN Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 37(2), pp. 179-195, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
high-speed performance, Security, FPGA, hash function, hardware implementation |
11 | Seong-Won Lee, Jean-Luc Gaudiot |
Throttling-Based Resource Management in High Performance Multithreaded Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(9), pp. 1142-1152, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Resource management, power management, multithreading, resource utilization, throttling |
11 | Yijun Li, Magdy A. Bayoumi |
A Three-Level Parallel High-Speed Low-Power Architecture for EBCOT of JPEG 2000. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 16(9), pp. 1153-1163, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 |
Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11), pp. 2427-2436, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | David A. Ellsworth, Bryan Green, Chris Henze, Patrick J. Moran, Timothy Sandstrom |
Concurrent Visualization in a Production Supercomputing Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 997-1004, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
concurrent visualization, interactive visual computing, high temporal resolution visualization, GEOS4 global climate model, hurricane visualization, ECCO, ocean modeling, Supercomputing, time-varying data |
11 | Ming-Jung Seow, Vijayan K. Asari |
Recurrent neural network as a linear attractor for pattern association. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Neural Networks ![In: IEEE Trans. Neural Networks 17(1), pp. 246-250, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Nicholas J. Wang, Sanjay J. Patel |
ReStore: Symptom-Based Soft Error Detection in Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Dependable Secur. Comput. ![In: IEEE Trans. Dependable Secur. Comput. 3(3), pp. 188-201, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Simulation, fault tolerance, fault injection, redundant design |
11 | Shadrokh Samavi, Shahram Shirani, Nader Karimi |
Real-time processing and compression of DNA microarray images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 15(3), pp. 754-766, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie |
Bypass aware instruction scheduling for register file power reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006, pp. 173-181, 2006, ACM, 1-59593-362-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
architecture-sensitive compiler, bypass-sensitive, forwarding paths, operation table, processor bypasses, reservation table, power consumption, register file |
11 | Sumeet Kumar, Aneesh Aggarwal |
Self-checking instructions: reducing instruction redundancy for concurrent error detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 64-73, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
RISC/CISC, reducing instruction redundancy, redundant multi-threading, self-checking instructions, concurrent error detection, VLIW architectures |
11 | Jie S. Hu, Shuai Wang 0006, Sotirios G. Ziavras |
In-Register Duplication: Exploiting Narrow-Width Value for Improving Register File Reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2006 International Conference on Dependable Systems and Networks (DSN 2006), 25-28 June 2006, Philadelphia, Pennsylvania, USA, Proceedings, pp. 281-290, 2006, IEEE Computer Society, 0-7695-2607-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Xue-mi Zhao, Zhiying Wang 0003, Hongyi Lu, Kui Dai |
A 6.35Mbps 1024-bit RSA crypto coprocessor in a 0.18um CMOS technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: IFIP VLSI-SoC 2006, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Nice, France, 16-18 October 2006, pp. 216-221, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Peter A. Beerel, Nam-Hoon Kim, Andrew Lines, Mike Davies |
Slack Matching Asynchronous Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2006), 13-15 March 2006, Grenoble, France, pp. 184-194, 2006, IEEE Computer Society, 0-7695-2498-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Hai Li 0001, Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh |
SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 158-163, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | William N. Chelton, Mohammed Benaissa |
High-Speed Pipelined EGG Processor on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SiPS ![In: Proceedings of the IEEE Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada, pp. 136-141, 2006, IEEE, 1-4244-0382-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Christian Ferdinand, Florian Martin 0001, Christoph Cullmann, Marc Schlickling, Ingmar Stein, Stephan Thesing, Reinhold Heckmann |
New Developments in WCET Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Program Analysis and Compilation ![In: Program Analysis and Compilation, Theory and Practice, Essays Dedicated to Reinhard Wilhelm on the Occasion of His 60th Birthday, pp. 12-52, 2006, Springer, 978-3-540-71315-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Kishan Chand Gupta, Pradeep Kumar Mishra, Pinakpani Pal |
A General Methodology for Pipelining the Point Multiplication Operation in Curve Based Cryptography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACNS ![In: Applied Cryptography and Network Security, 4th International Conference, ACNS 2006, Singapore, June 6-9, 2006, Proceedings, pp. 405-420, 2006, 3-540-34703-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Bok Dong Kim, Sang Ok Koo, Hyok Don Kwon, Seong Dae Jung, Soon Ki Jung, Minho Lee, YongWoo Rho, SungJa Koo |
Integrated visualization for geometry PIG data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APVIS ![In: Asia-Pacific Symposium on Information Visualisation, APVIS 2006, Tokyo, Japan, February 1-3, 2006, pp. 63-66, 2006, Australian Computer Society, 1-920682-41-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
features visualization, geometry PIG, multi-channel data, navigation, large data visualization |
11 | Gerhard Lienhart, Andreas Kugel, Reinhard Männer |
Rapid development of high performance floating-point pipelines for scientific simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Takatoshi Ikeda, Fumihiko Ino, Kenichi Hagihara |
A code motion technique for accelerating general-purpose computation on the GPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Bernhard Fechner |
Analysis of checksum-based execution schemes for pipelined processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Yongfeng Gu, Tom Van Court, Martin C. Herbordt |
Integrating FPGA Acceleration into the Protomol Molecular Dynamics Code: Preliminary Report. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 24-26 April 2006, Napa, CA, USA, Proceedings, pp. 315-316, 2006, IEEE Computer Society, 0-7695-2661-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | K. Scott Hemmert, Keith D. Underwood |
Open Source High Performance Floating-Point Modules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 24-26 April 2006, Napa, CA, USA, Proceedings, pp. 349-350, 2006, IEEE Computer Society, 0-7695-2661-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
IEEE floating point, FPGA, reconfigurable computing |
11 | Yousef El-Kurdi, Warren J. Gross, Dennis Giannacopoulos |
Sparse Matrix-Vector Multiplication for Finite Element Method Matrices on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 24-26 April 2006, Napa, CA, USA, Proceedings, pp. 293-294, 2006, IEEE Computer Society, 0-7695-2661-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | |
Multi-paradigm and Multi-grain Parallel Execution Model Based on SMP-Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
John Vincent Atanasoff Symposium ![In: 2006 IEEE John Vincent Atanasoff International Symposium on Modern Computing (JVA2006), 3-6 October 2006, Sofia, Bulgaria, pp. 266-272, 2006, IEEE Computer Society, 0-7695-2643-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Abiola Oduguwa, Ashutosh Tiwari, S. Fiorentino, Rajkumar Roy |
Multi-objective optimisation of the protein-ligand docking problem in drug discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2006, Proceedings, Seattle, Washington, USA, July 8-12, 2006, pp. 1793-1800, 2006, ACM, 1-59593-186-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
evolutionary computing, drug discovery, multi-objective optimisation, protein-ligand docking |
11 | Rastislav Lukac, Konstantinos N. Plataniotis |
Cost-Effective Sharpening of Single-Sensor Camera Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, ICME 2006, July 9-12 2006, Toronto, Ontario, Canada, pp. 829-832, 2006, IEEE Computer Society, 1-4244-0367-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Hua Xiong, Haoyu Peng, Aihong Qin, Jiaoying Shi |
Parallel occlusion culling on GPUs cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRCIA ![In: Proceedings VRCIA 2006 ACM International Conference on Virtual Reality Continuum and its Applications, Chinese University of Hong Kong, Hong Kong, China, June 14-17, 2006, pp. 19-26, 2006, ACM, 1-59593-324-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
GPUs cluster, parallel rendering, occlusion culling, tiled display, immersive environments, cluster rendering |
11 | Greg Hoover, Forrest Brewer, Timothy Sherwood |
Extensible control architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 323-333, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
specification methodology, control architecture |
11 | Jin Lee, Sin-Chong Park, Sungchung Park |
A pipelined VLSI architecture for a list sphere decoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Mohammad Taherzadeh-Sani, Anas A. Hamoui |
Digital background calibration of interstage-gain and capacitor-mismatch errors in pipelined ADCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Mohammad Taherzadeh-Sani, Anas A. Hamoui |
Analysis of dynamic element matching (DEM) in pipelined ADCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Tae-Young Kim, Kyoung-Su Oh, Byeong-Seok Shin, Cheol-Su Lim |
Programmable Vertex Processing Unit for Mobile Game Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEC ![In: Entertainment Computing - ICEC 2006, 5th International Conference, Cambridge, UK, September 20-22, 2006, Proceedings, pp. 187-192, 2006, Springer, 3-540-45259-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Osama Daifallah Al-Khaleel, Christos A. Papachristou, Francis G. Wolff, Kiamal Z. Pekmestzi |
A Large Scale Adaptable Multiplier for Cryptographic Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AHS ![In: First NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2006), 15-18 June 2006, Istanbul, Turkey, pp. 477-484, 2006, IEEE Computer Society, 0-7695-2614-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Christopher Zach, Mario Sormann, Konrad F. Karner |
High-Performance Multi-View Reconstruction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DPVT ![In: 3rd International Symposium on 3D Data Processing, Visualization and Transmission (3DPVT 2006), 14-16 June 2006, Chapel Hill, North Carolina, USA, pp. 113-120, 2006, IEEE Computer Society, 978-0-7695-2825-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Mythri Alle, Jayanta Biswas, S. K. Nandy 0001 |
High Performance VLSI Architecture Design for H.264 CAVLC Decoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 2006 IEEE International Conference on Application-Specific Systems, Architecture and Processors (ASAP 2006), 11-13 September 2006, Steamboat Springs, Colorado, USA, pp. 317-322, 2006, IEEE Computer Society, 0-7695-2682-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Andrew Havlir, David Z. Pan |
Simultaneous Statistical Delay and Slew Optimization for Interconnect Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 171-178, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Raphael Fonte Boa, Alexandre Marques Amaral, Dulcinéia Oliveira da Penha, Carlos Augusto Paiva da Silva Martins, Petr Ekel |
Parallel Image Segmentation in Reconfigurable Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA Workshops ![In: Frontiers of High Performance Computing and Networking - ISPA 2006 Workshops, ISPA 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings, pp. 728-737, 2006, Springer, 3-540-49860-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Yuan-Long Jeang, Tzuu-Shaang Wey, Hung-Yu Wang, Chih-Chung Tai |
A Single-Stream Pipelined Instruction Decompression System for Embedded Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IIH-MSP ![In: Second International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2006), Pasadena, California, USA, December 18-20, 2006, Proceedings, pp. 571-574, 2006, IEEE Computer Society, 0-7695-2745-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Mee Young Sung, Suk-Min Whang, Yonghee Yoo, Nam-Joong Kim, Jong Seung Park, Wonik Choi |
Parallel Processing for Reducing the Bottleneck in Realtime Graphics Rendering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCM ![In: Advances in Multimedia Information Processing - PCM 2006, 7th Pacific Rim Conference on Multimedia, Hangzhou, China, November 2-4, 2006, Proceedings, pp. 943-952, 2006, Springer, 3-540-48766-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Realtime graphics rendering, Distribution of rendering operations, Optimization, Parallel processing, Multithreading, Bottleneck |
11 | Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin |
Ultra low-cost defect protection for microprocessor pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 73-82, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
defect-protection, reliability, pipelines, low-cost |
11 | João Graça, Nuno J. Mamede, João D. Pereira |
A Framework for Integrating Natural Language Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PROPOR ![In: Computational Processing of the Portuguese Language, 7th International Workshop, PROPOR 2006, Itatiaia, Brazil, May 13-17, 2006, Proceedings, pp. 110-119, 2006, Springer, 3-540-34045-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Yi-Chih Chao, Shih-Tse Wei, Jar-Ferr Yang, Bin-Da Liu |
Combined CAVLC Decoder and Inverse Quantizer for Efficient H.264/AVC Decoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 259-262, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Daehong Kim, Dongwan Shin, Kiyoung Choi |
Pipelining with common operands for power-efficient linear systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(9), pp. 1023-1034, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Emil Talpes, Diana Marculescu |
Execution cache-based microarchitecture for power-efficient superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(1), pp. 14-26, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Shanq-Jang Ruan, Kun-Lin Tsai, Edwin Naroska, Feipei Lai |
Bipartitioning and encoding in low-power pipelined circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 10(1), pp. 24-32, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Low-power design |
11 | Ivano Barbieri, Massimo Bariani, Alberto Cabitto, Marco Raggio |
A Simulation and Exploration Technology for Multimedia-Application-Driven Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 41(2), pp. 153-168, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Hw-Sw co-design, simulation speed, simulation accuracy, simulation, multimedia, system on chip, DSP, flexibility, VLIW, architecture exploration, ISA |
11 | Fred A. Bower, Sule Ozev, Daniel J. Sorin |
Autonomic Microprocessor Execution via Self-Repairing Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Dependable Secur. Comput. ![In: IEEE Trans. Dependable Secur. Comput. 2(4), pp. 297-310, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Logic design reliability and testing, microprocessors and microcomputers |
|
|