The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Yu-Ju Hong, Ya-Shih Huang, Juinn-Dar Huang Simultaneous data transfer routing and scheduling for interconnect minimization in multicycle communication architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Kazuki Inoue, Motoki Amagasaki, Masahiro Iida, Toshinori Sueyoshi A Novel Local Interconnect Architecture for Variable Grain Logic Cell. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Saroj K. Nayak Carbon nanotube, graphene and atomic wires as next generation interconnects: current status and future promise. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF quantum simulation, performance, design, reliability
17Xu He, Sheqin Dong, Xianlong Hong, Satoshi Goto Integrated interlayer via planning and pin assignment for 3D ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jonggab Kil, Jie Gu 0003, Chris H. Kim A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Matthias Kühnle, Michael Hübner 0001, Jürgen Becker 0001, Antonio Deledda, Claudio Mucci, Florian Ries, Marcello Coppola, Lorenzo Pieralisi, Riccardo Locatelli, Giuseppe Maruccia, Tommaso DeMarco, Fabio Campi An Interconnect Strategy for a Heterogeneous, Reconfigurable SoC. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mladen Berekovic, Frank Bouwens, Tom Vander Aa, Diederik Verkest Interconnect Power Analysis for a Coarse-Grained Reconfigurable Array Processor. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Haile Yu, Yuk Hei Chan, Philip Heng Wai Leong FPGA interconnect design using logical effort. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, logical effort
17M. Frank Chang, Jason Cong, Adam Kaplan, Mishali Naik, Glenn Reinman, Eran Socher, Sai-Wang Tam CMP network-on-chip overlaid with multi-band RF-interconnect. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Quan Chen, Ngai Wong Efficient numerical modeling of random rough surface effects for interconnect internal impedance extraction. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Luca P. Carloni, Andrew B. Kahng, Swamy Muddu, Alessandro Pinto, Kambiz Samadi, Puneet Sharma Interconnect modeling for improved system-level design optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Guofei Zhou, Li Su 0001, Depeng Jin, Lieguang Zeng A delay model for interconnect trees based on ABCD matrix. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Saihua Lin, Yu Wang 0002, Rong Luo, Huazhong Yang A capacitive boosted buffer technique for high-speed process-variation-tolerant interconnect in UDVS application. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Wei-Sheng Huang, Yu-Ru Hong, Juinn-Dar Huang, Ya-Shih Huang A multicycle communication architecture and synthesis flow for Global interconnect Resource Sharing. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ruben Filipe Cardoso da Fonseca, Daniela Carneiro da Cruz, Pedro Rangel Henriques, Maria João Varanda Pereira How to Interconnect Operational and Behavioral Views of Web Applications. Search on Bibsonomy ICPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Heiner Giefers Reconfigurable many-cores with lean interconnect. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Haile Yu, Yuk Hei Chan, Philip Heng Wai Leong FPGA interconnect design using logical effort. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Konrad J. Kulikowski, Vyas Venkataraman, Zhen Wang 0001, Alexander Taubin, Mark G. Karpovsky Asynchronous balanced gates tolerant to interconnect variability. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Charbel J. Akl, Magdy A. Bayoumi Assumers for high-speed single and multi-cycle on-chip interconnect with low repeater count. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-speed signaling, repeater, wires
17Yu Zhou, Somnath Paul, Swarup Bhunia Towards Uniform Temperature Distribution in SOI Circuits Using Carbon Nanotube Based Thermal Interconnect. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Thomas Lenart, Henrik Svensson, Viktor Öwall A Hybrid Interconnect Network-on-Chip and a Transaction Level Modeling Approach for Reconfigurable Computing. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SCENIC, Network-on-Chip, Reconfigurable Computing, TLM, 2D Mesh
17James Psota, Anant Agarwal rMPI: Message Passing on Multicore Processors with On-Chip Interconnect. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jerry Bautista Tera-scale computing and interconnect challenges. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF die stacking, parallel computing, many core
17Tarek Moselhy, Luca Daniel Stochastic integral equation solver for efficient variation-aware interconnect extraction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Neumann expansion, polynomial chaos expansion, stochastic field solvers, variation-aware extraction, surface roughness
17Wim Heirman, Joni Dambre, Dirk Stroobandt, Jan M. Van Campenhout Rent's rule and parallel programs: characterizing network traffic behavior. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network traffic behavior, locality, network-on-chip, characterization, Rent's rule
17Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman 0001, Parthasarathi Dasgupta Revisiting fidelity: a case of elmore-based Y-routing trees. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, Steiner trees, fidelity, rank correlation
17Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li 0001 Utilizing Redundancy for Timing Critical Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Wenyi Feng, Jonathan W. Greene Post-Placement Interconnect Entropy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Performance evaluation, VLIW, ASIP, Clustered VLIW processors
17Amitabh Chaudhary, Danny Z. Chen, Xiaobo Sharon Hu, Michael T. Niemier, Ramprasad Ravichandran, Kevin Whitton Fabricatable Interconnect and Molecular QCA Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Lili Zhou, Cherry Wakayama, C.-J. Richard Shi CASCADE: A Standard Supercell Design Methodology With Congestion-Driven Placement for Three-Dimensional Interconnect-Heavy Very Large-Scale Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Drew Wingard Reflections on 10 Years as a Commercial On-Chip Interconnect Provider. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Xin Hu 0007, Tarek Moselhy, Jacob K. White 0001, Luca Daniel Optimization-based wideband basis functions for efficient interconnect extraction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Thuyen Le, Tilman Glökler, Jason Baumgartner Formal verification of a pervasive interconnect bus system in a high-performance microprocessor. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jingye Xu, Abinash Roy, Masud H. Chowdhury Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jeffrey Fan, Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong Statistical model order reduction for interconnect circuits considering spatial correlations. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Junki Seita, Hiroyuki Ito, Kenichi Okada, Takashi Sato, Kazuya Masu A Multi-Drop Transmission-Line Interconnect in Si LSI. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Arthur Nieuwoudt, Mehboob Alam, Yehia Massoud Reduced-Order Wide-Band Interconnect Model Realization using Filter-Based Spline Interpolation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Farshad Safaei, Ahmad Khonsari, Mahmood Fathy, Amirhossein Shantia, Mohamed Ould-Khaoua Evaluating the Performance of Adaptive Fault-Tolerant Routing Algorithms for Wormhole-Switched Mesh Interconnect Networks. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hong Li, Jitesh Jain, Cheng-Kok Koh, Venkataramanan Balakrishnan A fast band-matching technique for interconnect inductance modeling. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Frank Huebbers, Ali Dasdan, Yehea I. Ismail Multi-layer interconnect performance corners for variation-aware timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Taha Amiralli, Anestis Dounavis Macromodeling for Nonlinear Distributed Interconnect Networks. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Abinash Roy, Masud H. Chowdhury Global Interconnect Optimization in the Presence of On-chip Inductance. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ming-Hong Lai, Chia-Chi Chu, Wu-Shiung Feng Applications of AOGL Model-Order Reduction Techniques in Interconnect Analysis. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jingye Xu, Abinash Roy, Masud H. Chowdhury Power Consumption Analysis of Flip-flop Based Interconnect Pipelining. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17H. J. Kadim Analytical Modelling for Adaptive Multi-Purpose On-Chip Optical Interconnect. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Farshad Safaei, Mahmood Fathy, Ahmad Khonsari, Mohamed Ould-Khaoua, Hosein Shafiei, S. Khosravipour On Quantifying Fault Patterns of the Mesh Interconnect Networks. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kyoung-Hwan Lim, YongHwan Kim, Taewhan Kim Interconnect and Communication Synthesis for Distributed Register-File Microarchitecture. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Louis Scheffer CAD Implications of New Interconnect Technologies. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17J. Balachandran, Steven Brebels, Geert Carchon, Maarten Kuijk, Walter De Raedt, Bart Nauwelaers, Eric Beyne Wafer-level package interconnect options. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Chandramouli V. Kashyap Fast Interconnect and Gate Timing Analysis for Performance Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Girish Venkataramani, Tobias Bjerregaard, Tiberiu Chelcea, Seth Copen Goldstein Hardware compilation of application-specific memory-access interconnect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yan Lin 0001, Lei He 0001 Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Wenjian Yu, Mengsheng Zhang, Zeyi Wang Efficient 3-D extraction of interconnect capacitance considering floating metal fills with boundary element method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shih-Yu Yang, Christos A. Papachristou A method for detecting interconnect DSM defects in systems on chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Supreet Joshi, Dinesh Sharma A Novel Low Power Multilevel Current Mode Interconnect System. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Olaf Schneider, Frank Schmitz, Ivan Kondov, Thomas Brandel OpusIB - Grid Enabled Opteron Cluster with InfiniBand Interconnect. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cluster, Middleware, Grid, File system, InfiniBand, SAN
17Wenyi Feng, Jonathan W. Greene Post-placement interconnect entropy. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Se-Joong Lee, Kwanho Kim, Hyejung Kim, Namjun Cho, Hoi-Jun Yoo A network-on-chip with 3Gbps/wire serialized on-chip interconnect using adaptive control schemes. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Federico Angiolini, Paolo Meloni, Salvatore Carta, Luca Benini, Luigi Raffo Contrasting a NoC and a traditional interconnect fabric with layout awareness. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Frank Liu 0001 A practical method to estimate interconnect responses to variabilities. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Michal Kopec, Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka Test-per-Clock Detection, Localization and Identification of Interconnect Faults. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Rahul Nagpal, Y. N. Srikant Exploring Energy-Performance Trade-Offs for Heterogeneous Interconnect Clustered VLIW Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mark R. Greenstreet, Jihong Ren Surfing Interconnect. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ya-Chi Yang, Cheng-Kok Koh, Venkataramanan Balakrishnan Adaptive admittance-based conductor meshing for interconnect analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Konstantin Moiseev, Shmuel Wimer, Avinoam Kolodny Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chia-Chi Chu, Ming-Hong Lai, Wu-Shiung Feng The global Lanczos method for MIMO interconnect order reductions. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shuji Tsukiyama, Masahiko Tomita An algorithm for calculating correlation coefficients between Elmore interconnect delays. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Thomas D. Richardson, Chrysostomos Nicopoulos, Dongkook Park, Narayanan Vijaykrishnan, Yuan Xie 0001, Chita R. Das, Vijay Degalahal A Hybrid SoC Interconnect with Dynamic TDMA-Based Transaction-Less Buses and On-Chip Networks. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lele Jiang, Junfa Mao Global Interconnect Analysis and Optimization for Nanometer Scale VLSI. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ming-Hong Lai, Chia-Chi Chu, Wu-Shiung Feng The Multiple Point Global Lanczos Method for MIMO Interconnect Model-Order Reductions. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Wolfgang Klingauf, Robert Günzel, Oliver Bringmann 0001, Pavel Parfuntseu, Mark Burton GreenBus: a generic interconnect fabric for transaction level modelling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SoC, SystemC, TLM, on-chip communication
17Jin Guo 0001, Antonis Papanikolaou, Pol Marchal, Francky Catthoor Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF segmented bus, floorplanning, trade-offs
17Avinash Karanth Kodi, Ahmed Louri Design of a High-Speed Optical Interconnect for Scalable Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yu Cao 0001, Xuejue Huang, Dennis Sylvester, Tsu-Jae King 0001, Chenming Hu Impact of on-chip interconnect frequency-dependent R(f)L(f) on digital and RF design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Dharmendra Saraswat, Ramachandra Achar, Michel S. Nakhla Global Passivity Enforcement Algorithm for Macromodels of Interconnect Subnetworks Characterized by Tabulated Data. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17T. Yamashita, C. J. Summers Evaluation of self-collimated beams in photonic crystals for optical interconnect. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Giorgos Dimitrakopoulos, Dimitris Nikolos Closed-Form Bounds for Interconnect-Aware Minimum-Delay Gate Sizing. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Junpei Inoue, Hiroyuki Ito, Shinichiro Gomi, Takanori Kyogoku, Takumi Uezono, Kenichi Okada, Kazuya Masu Evaluation of on-chip transmission line interconnect using wire length distribution. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jia Wang 0003, Hai Zhou 0001 Interconnect estimation without packing via ACG floorplans. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Bang Liu, Xuan Zeng 0001, Yangfeng Su, Jun Tao 0001, Zhaojun Bai, Charles C. Chiang, Dian Zhou Block SAPOR: block Second-order Arnoldi method for Passive Order Reduction of multi-input multi-output RCS interconnect circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Min Ma, Mourad Oulmane, Nicholas C. Rumin Explicit delay metric for interconnect optimization. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Alexandre Landry, Mohamed Nekili, Yvon Savaria A novel 2 GHz multi-layer AMBA high-speed bus interconnect matrix for SoC platforms. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yunfeng Wang, Jinian Bian, Xianlong Hong Interconnect delay optimization via high level re-synthesis after floorplanning. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yici Cai, Yibo Wang, Xianlong Hong A global interconnect optimization algorithm under accurate delay model using solution space smoothing. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chia-Chi Chu, Herng-Jer Lee, Wu-Shiung Feng, Ming-Hong Lai Interconnect model reductions by using the AORA algorithm with considering the adjoint network. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Vasilis F. Pavlidis, Eby G. Friedman Interconnect delay minimization through interlayer via placement in 3-D ICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RC interconnects, elmore delay, 3-D ICs
17Hao Yu 0001, Lei He 0001 Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jiaxing Sun, Yun Zheng, Qing Ye, Tianchun Ye 0001 Interconnect Delay and Slew Metrics Using the First Three Moments. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF D3M, ID3M, SS3M, SIS3M
17Chun-Chieh Wang, Jing-Jia Liou, Yen-Lin Peng, Chih-Tsun Huang, Cheng-Wen Wu A BIST Scheme for FPGA Interconnect Delay Faults. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Takumi Uezono, Junpei Inoue, Takanori Kyogoku, Kenichi Okada, Kazuya Masu Prediction of delay time for future LSI using on-chip transmission line interconnects. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Katherine Shu-Min Li, Chung-Len Lee 0001, Yao-Wen Chang, Chauchin Su, Jwu E. Chen Multilevel full-chip routing with testability and yield enhancement. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multilevel routing, yield, testability
17Young-Su Kwon, Payam Lajevardi, Anantha P. Chandrakasan, Frank Honoré, Donald E. Troxel A 3-D FPGA wire resource prediction model validated using a 3-D placement and routing tool. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3-D FPGA, wire resource prediction
17György Miklós, Ferenc Kubinszky, András Rácz, Zoltán Richard Turányi, András Gergely Valkó, Miklós Aurél Rónai, Sándor Molnár A novel scheme to interconnect multiple frequency hopping channels into an ad hoc network. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17André DeHon, Raphael Rubin Design of FPGA interconnect for multilevel metallization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Hong-Yi Huang, Shih-Lun Chen Interconnect accelerating techniques for sub-100-nm gigascale systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Atsushi Kurokawa, Nobuto Ono, Tetsuro Kage, Hiroo Masuda DEPOGIT: dense power-ground interconnect architecture for physical design integrity. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Xiren Wang, Deyan Liu, Wenjian Yu, Zeyi Wang Fast and accurate extraction of 3-D interconnect resistance: improved quasi-multiple medium accelerated BEM method. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license