The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for designs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958 (39) 1959-1969 (19) 1970-1972 (28) 1973-1974 (31) 1975 (15) 1976 (29) 1977 (22) 1978 (25) 1979 (17) 1980 (29) 1981 (28) 1982 (29) 1983 (25) 1984 (36) 1985 (54) 1986 (68) 1987 (61) 1988 (93) 1989 (112) 1990 (132) 1991 (121) 1992 (130) 1993 (147) 1994 (176) 1995 (249) 1996 (247) 1997 (288) 1998 (357) 1999 (473) 2000 (522) 2001 (529) 2002 (678) 2003 (888) 2004 (1083) 2005 (1168) 2006 (1308) 2007 (1462) 2008 (1477) 2009 (957) 2010 (494) 2011 (326) 2012 (366) 2013 (398) 2014 (396) 2015 (355) 2016 (370) 2017 (420) 2018 (378) 2019 (427) 2020 (455) 2021 (466) 2022 (457) 2023 (468) 2024 (120)
Publication types (Num. hits)
article(7465) book(17) incollection(131) inproceedings(11238) phdthesis(193) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12478 occurrences of 5080 keywords

Results
Found 19048 publication records. Showing 19048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Miriam Leeser, Richard Chapman 0001, Mark D. Aagaard, Mark H. Linderman, Stephan Meier High level synthesis and generating FPGAs with the BEDROC system. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Neff Walker, John B. Smelcer A comparison of selection time from walking and pull-down menus. Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Terry Winograd What can we teach about human-computer interaction? (plenary address). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Hans Brunner A snapshot of natural language interfaces (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Jakob Nielsen Designing for international use (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12S. Joy Mountford Designers: meet your users (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Apple Computer, HyperCard, Inc
12Marcy Telles Updating an older interface. Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF WordStar
12Nikolay Petkov Turkedjiev Synthesis of Systolic Algorithms and Processor Arrays. Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
12Chyuan Shiun Lin, Diane C. P. Smith, John Miles Smith The Design of a Rotating Associative Array Memory for a Relational Database Management Application. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1976 DBLP  DOI  BibTeX  RDF head-per-track disks, rotating devices, search logic, sorting technique, relational database, associative memory, memory systems, data organization, content addressability
11Jonathan M. Johnson, Michael J. Wirthlin Voter insertion algorithms for FPGA designs using triple modular redundancy. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scc, tmr, voter insertion, fpga, algorithm, reliability, synchronization
11Larry Howard, Julie Johnson, Carin Neitzel Reflecting on online learning designs using observed behavior. Search on Bibsonomy ITiCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design reflection, inquiry-based learning, instructional design patterns, learning environments, self-regulated learning
11Tak-Yung Kim, Taewhan Kim Clock tree synthesis with pre-bond testability for 3D stacked IC designs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, routing, buffer insertion, 3D ICs, clock tree
11Christos-Savvas Bouganis, Sung-Boem Park, George A. Constantinides, Peter Y. K. Cheung Synthesis and Optimization of 2D Filter Designs for Heterogeneous FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2D filter design, FPGA, Singular Value Decomposition, reconfigurable logic
11Dieter Jungnickel, Vladimir D. Tonchev Polarities, quasi-symmetric designs, and Hamada's conjecture. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mathematics Subject Classifications (2000) 05B05, 51E20, 94B27
11Kuljit Kaur Chahal, Hardeep Singh Metrics to study symptoms of bad software designs. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Richard W. Selby Analytics-Driven Dashboards Enable Leading Indicators for Requirements and Designs of Large-Scale Systems. Search on Bibsonomy IEEE Softw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Rebecca Wirfs-Brock Creating Sustainable Designs. Search on Bibsonomy IEEE Softw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin Automatic bus macro placement for partially reconfigurable FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning
11Andrew DeOrio, Ilya Wagner, Valeria Bertacco Dacota: Post-silicon validation of the memory subsystem in multi-core designs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11David Rodríguez Rueda, Carlos Cotta, Antonio J. Fernández 0001 Finding Balanced Incomplete Block Designs with Metaheuristics. Search on Bibsonomy EvoCOP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Sushmita Ruj, Jennifer Seberry, Bimal K. Roy Key Predistribution Schemes Using Block Designs in Wireless Sensor Networks. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Percy V. Gilbert Advances in process technology & IBM collaborative ecosystem for leadership power performance SOC designs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF keynote
11Michael J. Anderson, Azadeh Davoodi, Jungseob Lee, Abhishek A. Sinkar, Nam Sung Kim Statistical static timing analysis considering leakage variability in power gated designs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variations, leakage, power gating, ssta
11George Michelogiannakis, William J. Dally Router designs for elastic buffer on-chip networks. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip networks
11Min-Young Nam, Rodolfo Pellizzoni, Lui Sha, Richard M. Bradford ASIIST: Application Specific I/O Integration Support Tool for Real-Time Bus Architecture Designs. Search on Bibsonomy ICECCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Lijun Yu, Robert B. France, Indrakshi Ray, Sudipto Ghosh A Rigorous Approach to Uncovering Security Policy Violations in UML Designs. Search on Bibsonomy ICECCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Andrew Manches, Claire O'Malley, Steve Benford Physical manipulation: evaluating the potential for tangible designs. Search on Bibsonomy TEI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF physical manipulation, problem solving, tangibles
11Hoojin Lee, Jeffrey G. Andrews, Edward J. Powers Information outage probability and diversity order of symmetric coordinate interleaved orthogonal designs. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hlaing Minn, Yinghui Li, Naofal Al-Dhahir PAR-Constrained Training Signal Designs for MIMO OFDM Channel Estimation in the Presence of Frequency Offsets. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Weiwu Hu, Jian Wang Making Effective Decisions in Computer Architects' Real-World: Lessons and Experiences with Godson-2 Processor Designs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF correlation design, balanced design, Pico-architecture design, work-on-silicon, optimized design, superscalar architecture
11Han-Xin Sun, Kun-Peng Yang, Yulai Zhao 0003, Dong Tong 0001, Xu Cheng 0001 CASA: A New IFU Architecture for Power-Efficient Instruction Cache and TLB Designs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction TLB, instruction fetch unit, power-efficient design, computer architecture, dynamic voltage scaling, instruction cache
11Yifang Liu, Jiang Hu, Weiping Shi Buffering Interconnect for Multicore Processor Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Abhijit Jas, Yi-Shing Chang, Sreejit Chakravarty A Methodology for Handling Complex Functional Constraints for Large Industrial Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Untestability analysis, Pseudo-functional tests, Functional constraints
11Yong Zhan, Sachin S. Sapatnekar Automated module assignment in stacked-Vdd designs for high-efficiency power delivery. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Yen-Kuang Chen, Sun-Yuan Kung Trend and Challenge on System-on-a-Chip Designs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC design trend, SoC design challenge, VLSI, SoC, system-on-a-chip
11Rui Wang 0007, Vincent K. N. Lau Robust Optimal Cross-Layer Designs for TDD-OFDMA Systems with Imperfect CSIT and Unknown Interference: State-Space Approach Based on 1-bit ACK/NAK Feedbacks. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Kee Sup Kim, Ming Zhang 0017 Hierarchical Test Compression for SoC Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC, hierarchical, test compression
11J. Andrés Díaz Pace, Marcelo R. Campo Exploring Alternative Software Architecture Designs: A Planning Perspective. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Xuejin Chen, Sing Bing Kang, Ying-Qing Xu, Julie Dorsey, Heung-Yeung Shum Sketching reality: Realistic interpretation of architectural designs. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF realistic imagery, Sketching, shape
11Glenn Shafer, Amos Tversky Languages and Designs for Probability Judgment. Search on Bibsonomy Classic Works of the Dempster-Shafer Theory of Belief Functions The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Steven Floyd, Serhat Adilak, Steven Ramirez, Raphael Rogman, Metin Sitti Performance of different foot designs for a water running robot. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11German L. Vazquez, Marcelo R. Campo, J. Andrés Díaz Pace A case-based reasoning approach for materializing software architectures onto object-oriented designs. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture materialization, object-oriented design, case-based reasoning, architecture design
11Ilya Wagner, Valeria Bertacco MCjammer: Adaptive Verification for Multi-core Designs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Sanghamitra Roy, Yu Hen Hu, Charlie Chung-Ping Chen, Shih-Pin Hung, Tse-Yu Chiang, Jiuan-Guei Tseng An optimal algorithm for sizing sequential circuits for industrial library based designs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11David Z. Pan, Minsik Cho Synergistic physical synthesis for manufacturability and variability in 45nm designs and beyond. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ansuman Banerjee, Sayak Ray, Pallab Dasgupta, Partha Pratim Chakrabarti, S. Ramesh 0002, P. Vignesh V. Ganesan A Dynamic Assertion-Based Verification Platform for Validation of UML Designs. Search on Bibsonomy ATVA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Sofiane Aouag A Mulimodeling Framework for Complex Learning Activity Designs. Search on Bibsonomy ICWL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF learning to read, learning object, Learning activity, individualizing learning, Multimodeling
11Yuankun Yao Comparing the Impact of Two Different Designs for Online Discussion. Search on Bibsonomy ICWL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instructional design, Online discussion
11Yan Wan 0001, Sandip Roy 0002, Xu Wang 0005, Ali Saberi, Tao Yang 0003, Mengran Xue, Babak Malek On the structure of graph edge designs that optimize the algebraic connectivity. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Paul Schumacher, Pradip Jha Fast and accurate resource estimation of RTL-based designs targeting FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Stephen H. Muggleton Developing Robust Synthetic Biology Designs Using a Microfluidic Robot Scientist. Search on Bibsonomy SBIA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Conrado Pilotto, José Rodrigo Azambuja, Fernanda Lima Kastensmidt Synchronizing triple modular redundant designs in dynamic partial reconfiguration applications. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, TMR, dynamic partial reconfiguration
11Yifang Liu, Jiang Hu, Weiping Shi Multi-scenario buffer insertion in multi-core processor designs. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-core design, buffer insertion
11Rachel T. Johnson, Bradley A. Jones, John W. Fowler, Douglas C. Montgomery Comparing designs for computer simulation experiments. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Selim Ciraci, Pim van den Broek, Mehmet Aksit Framework for Computer-Aided Evolution of Object-Oriented Designs. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Object-Oriented Evolution, Design patterns, Software Evolution, Graph Transformation
11Ka Lun Eddie Law, Adam Kohn Topology designs with controlled interference for multi-radio wireless mesh networks. Search on Bibsonomy Mobility Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IEEE 802.11 protocol, controlled interference, multi-radio multi-channel wireless protocol, medium access control, wireless mesh networks, packet loss probability
11Mike Bennett, Aaron J. Quigley Perceptual usability: predicting changes in visual interfaces & designs due to visual acuity differences. Search on Bibsonomy AVI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF evaluation, methodology, methods, screen design
11Barnabas C. Okeke, Ka Lun Eddie Law Multi-level clustering architecture and protocol designs for wireless sensor networks. Search on Bibsonomy WICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-level clustering (MLC), clusters, wireless sensor networks, sensors, energy model, LEACH
11Éric Bel, Emma Bradburn Reframing Teachers' Conceptions of Accessible E-Learning Designs. Search on Bibsonomy ICALT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Bernd Becker 0001, Marc Herbstritt, Natalia Kalinnik, Matthew Lewis 0004, Juri Lichtner, Tobias Nopper, Ralf Wimmer 0001 Propositional approximations for bounded model checking of partial circuit designs. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Shih-Hung Weng, Yu-Min Kuo, Shih-Chieh Chang, Malgorzata Marek-Sadowska Timing analysis considering IR drop waveforms in power gating designs. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ning Chen 0004, Zhiyuan Yan 0001 Compact designs of mixcolumns and subbytes using a novel common subexpression elimination algorithm. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Yi Yu 0008, Junwei Yan, Min Liu 0002, Bensheng Yun A Semantic Paradigm to Integrate Customer Demands and Product Designs Based on Model-Driven Architecture. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Mark Hammerquist, Roman L. Lysecky Design space exploration for application specific FPGAS in system-on-a-chip designs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Rong-Xian Yue, Xin Liu Minimax Designs for Approximately Linear Multiresponse Models. Search on Bibsonomy FSKD (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Guanling Chen, Faruq Rahman Analyzing Privacy Designs of Mobile Social Networking Applications. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Tom Kean, David McLaren, Carol Marsh Verifying the Authenticity of Chip Designs with the DesignTag System. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Zhonglei Wang, Wolfgang Haberl, Stefan Kugele, Michael Tautschnig Automatic generation of systemc models from component-based designs for early design validation and performance analysis. Search on Bibsonomy WOSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cola, simulation, code generation, systemc
11Sandeep Gupta, Jaya Singh, Abhijit Roy A Novel Cell-Based Heuristic Method for Leakage Reduction in Multi-Million Gate VLSI Designs. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dual-Vt Technology, Cell-Based Approach, Cell-swapping, Leakage Power
11Ludovic Charvier, Fabien Bourgeois, Jacques Jacot, Grégoire Genolet, Hubert Lorenz New Designs for Submillimetric Press-Fitting. Search on Bibsonomy IPAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jong Kang Park, Hyun Suk Choi, Jong Tae Kim A soft error analysis tool for high-speed digital designs. Search on Bibsonomy ICUIMC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Weidong Li, Lequan Min Robust Designs for Shadow Projection CNN. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Zhe-Wei Jiang, Bor-Yiing Su, Yao-Wen Chang Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement, physical design, routability
11Anand Rajaram, David Z. Pan Robust chip-level clock tree synthesis for SOC designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip-level CTS, physical design, clock network
11Alberto González Téllez, Miguel A. Mateo Pla Multithreaded Translation of Ptolemy II Designs on Multicore Platforms. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Martin Hell, Thomas Johansson 0001, Alexander Maximov, Willi Meier The Grain Family of Stream Ciphers. Search on Bibsonomy The eSTREAM Finalists The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hazem Hiary, Kia Ng A system for segmenting and extracting paper-based watermark designs. Search on Bibsonomy Int. J. Digit. Libr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Paper watermark, Image processing, Preservation, Graphical representation, Digitisation
11Andrea Blunck, Hans Havlicek, Corrado Zanella Lifting of divisible designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AMS Classifications 05B30, 51E20, 20B25
11Sanjeevani Gharge, Sharad S. Sane Quasi-affine symmetric designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AMS Classifications Primary 05B05, Secondary 05B15, Secondary 05B25
11Sabine Giese, Ralph-Hardo Schulz Divisible designs with dual translation group. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 51N10, AMS Classification 05B05, 05B30, 20B25
11Asma Al-Tamimi, Murad Abu-Khalaf, Frank L. Lewis Adaptive Critic Designs for Discrete-Time Zero-Sum Games With Application to Hinfty Control. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chuan Lin 0002, Hai Zhou 0001 Tradeoff Between Latch and Flop for Min-Period Sequential Circuit Designs With Crosstalk. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Miquel Payaró, Antonio Pascual-Iserte, Miguel Angel Lagunas Robust Power Allocation Designs for Multiuser and Multiantenna Downlink Communication Systems through Convex Optimization. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Shobha Vasudevan, E. Allen Emerson, Jacob A. Abraham Improved verification of hardware designs through antecedent conditioned slicing. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LTL property, Antecedent conditioned slicing, Verilog RTL, Model checking, Program slicing, Hardware description languages, Hardware verification
11Angela Sara Cacciapuoti, Giacinto Gelli, Francesco Verde FIR Zero-Forcing Multiuser Detection and Code Designs for Downlink MC-CDMA. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jeremy Lee, Mohammad Tehranipoor, Chintan Patel, Jim Plusquellic Securing Designs against Scan-Based Side-Channel Attacks. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Security and Privacy Protection, Scan-Based Design, Secure Design, Reliability and Testing
11Mikhail Perepletchikov, Caspar Ryan, Keith Frampton, Zahir Tari Coupling Metrics for Predicting Maintainability in Service-Oriented Designs. Search on Bibsonomy ASWEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Shuming Chen, Xiangyuan Liu A Low-Latency and Low-Power Hybrid Insertion Methodology for Global Interconnects in VDSM Designs. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF differential-signaling, insertion methodology, on-chip interconnects, low-swing
11Robert G. Pettit IV, Hassan Gomaa Analyzing Behavior of Concurrent Software Designs for Embedded Systems. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Julie A. Street, Robert G. Pettit IV, Hassan Gomaa Independent Model-Driven Software Performance Assessments of UML Designs. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11B. P. Harish, Navakanta Bhat, Mahesh B. Patil Process Variability-Aware Statistical Hybrid Modeling of Dynamic Power Dissipation in 65 nm CMOS Designs. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed-mode simulations, hybrid model, Least Squares Method, Response Surface Methodology
11Allan Crone, Gabriel Chidolue Functional Verification of Low Power Designs at RTL. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low power aware management, Corruption, UPF, Simulation, Retention, PCF
11Prashant Agrawal, Srinivasa R. S. T. G, Ajit N. Oke, Saurabh Vijay A Scalable Modeling Technique to Estimate Dynamic Thermal Design Power of Datapath Intensive Designs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Alair Dias Jr., Diógenes Cecilio da Silva Jr. Code-coverage Based Test Vector Generation for SystemC Designs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Naouel Moha Detection and correction of design defects in object-oriented designs. Search on Bibsonomy OOPSLA Companion The full citation details ... 2007 DBLP  DOI  BibTeX  RDF specification, refactorings, detection, correction, meta-modelling, code smells, antipatterns, design defects
11Juan C. Quiroz, Anil Shankar, Sergiu M. Dascalu, Sushil J. Louis Software Environment for Research on Evolving User Interface Designs. Search on Bibsonomy ICSEA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Siddharth Garg, Diana Marculescu Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Federico Angiolini, M. Haykel Ben Jamaa, David Atienza, Luca Benini, Giovanni De Micheli Interactive presentation: Improving the fault tolerance of nanometric PLA designs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ghiath Al Sammane, Mohamed H. Zaki, Sofiène Tahar A symbolic methodology for the verification of analog and mixed signal designs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 19048 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license