The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Core with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1952-1959 (20) 1960-1962 (19) 1963-1966 (16) 1967-1968 (25) 1969-1975 (17) 1976-1977 (15) 1978-1979 (18) 1980-1981 (16) 1982-1983 (16) 1984-1986 (25) 1987 (21) 1988 (23) 1989 (40) 1990 (38) 1991 (24) 1992 (35) 1993 (40) 1994 (72) 1995 (80) 1996 (96) 1997 (178) 1998 (232) 1999 (352) 2000 (420) 2001 (582) 2002 (762) 2003 (895) 2004 (1107) 2005 (1405) 2006 (1629) 2007 (2035) 2008 (2166) 2009 (1861) 2010 (1095) 2011 (931) 2012 (917) 2013 (1037) 2014 (1042) 2015 (1099) 2016 (1036) 2017 (1028) 2018 (1026) 2019 (1034) 2020 (897) 2021 (1010) 2022 (955) 2023 (1059) 2024 (207)
Publication types (Num. hits)
article(8245) book(46) data(8) incollection(163) inproceedings(19766) phdthesis(382) proceedings(43)
Venues (Conferences, Journals, ...)
CoRR(915) Dublin Core Conference(526) MCSoC(416) OFC(321) DATE(290) IPDPS(225) IEEE Access(215) Sensors(208) ISCAS(185) DAC(183) ECOC(144) ASP-DAC(133) ISSCC(126) HICSS(120) IEEE Trans. Comput. Aided Des....(118) IEEE J. Solid State Circuits(117) More (+10 of total 4349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14709 occurrences of 6001 keywords

Results
Found 28669 publication records. Showing 28653 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Michael A. Kochte, Marcel Schaal, Hans-Joachim Wunderlich, Christian G. Zoellin Efficient fault simulation on many-core processors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PPSFP, parallel fault simulation, many-core processors
22Renan Alves Fonseca, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Nabil Badereddine A statistical simulation method for reliability analysis of SRAM core-cells. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SRAM core-cell, Monte-Carlo, reliability analysis
22Chang-Burm Cho, Wangyuan Zhang, Tao Li 0006 Thermal Design Space Exploration of 3D Die Stacked Multi-core Processors Using Geospatial-Based Predictive Models. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thermal/power characterization, 3D die stacking, analytical modeling, multi-core architecture
22Guoping Long, Dongrui Fan, Junchao Zhang Characterizing and Understanding the Bandwidth Behavior of Workloads on Multi-core Processors. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF phase model, memory bandwidth, multi-core architecture
22Carsten Trinitis, Tilman Küstner, Josef Weidendorfer, Jasmin Smajic Sparse Matrix Operations on Multi-core Architectures. Search on Bibsonomy PaCT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-core, performance optimization, sparse matrices, cache optimization, pinning
22Lakshminarasimhan Seshagiri, Masha Sosonkina, Zhao Zhang 0010 Electronic Structure Calculations and Adaptation Scheme in Multi-core Computing Environments. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF GAMESS, Niagara, NICAN, Adaptation, Multi-Core
22Alexander S. van Amesfoort, Ana Lucia Varbanescu, Henk J. Sips, Rob van Nieuwpoort Evaluating multi-core platforms for HPC data-intensive kernels. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data-intensive kernels, memory-bound applications, the cell processor, multi-core processors, gpus
22Ioannis E. Venetis, Guang R. Gao Mapping the LU decomposition on a many-core architecture: challenges and solutions. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register tiling, load balancing, multi-core, local memory, LU decomposition
22Dong Su, Kewei Lv A New Hard-Core Predicate of Paillier's Trapdoor Function. Search on Bibsonomy INDOCRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Paillier’s trapdoor function, Hard-core Predicate, Most significant bit
22Helena Keinänen Local Search Algorithms for Core Checking in Hedonic Coalition Games. Search on Bibsonomy ICCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-agent systems, game theory, core
22Jean-Luc Beuchat, Emmanuel López-Trejo, Luis Martínez-Ramos, Shigeo Mitsunari, Francisco Rodríguez-Henríquez Multi-core Implementation of the Tate Pairing over Supersingular Elliptic Curves. Search on Bibsonomy CANS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF supersingular curve, multi-core, Tate pairing, finite field arithmetic, ? T pairing
22Imam Machdi, Toshiyuki Amagasa, Hiroyuki Kitagawa Executing parallel TwigStack algorithm on a multi-core system. Search on Bibsonomy iiWAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF XML data partition, parallel TwigStack, multi-core system
22Krishna K. Rangan, Gu-Yeon Wei, David M. Brooks Thread motion: fine-grained power management for multi-core systems. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core power management, thread motion, dvfs
22Shu-Hsuan Chou, Chien-Chih Chen, Chi-Neng Wen, Yi-Chao Chan, Tien-Fu Chen, Chao-Ching Wang, Jinn-Shyan Wang No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF level-1 non-uniform cache architecture, ring interconnection, single-cycle transactions, multi-core, NOC, SOC, arbitration, memory structure
22Fadi N. Sibai Evaluating the performance of single and multiple core processors with PCMARK®05 and benchmark analysis. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance benchmark, single and dual core processors, workload characterization
22Tianzhou Chen, Jiangwei Huang, Lingxiang Xiang, Qingsong Shi Dynamic power management framework for multi-core portable embedded system. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded system, power management, DVS, multi-core
22Lei Gao, Ming-che Lai, Zhenghu Gong Exploiting the Thread-Level Parallelism for BGP on Multi-core. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multi-core, BGP
22Qin Zhao, Ioana Cutcutache, Weng-Fai Wong Pipa: pipelined profiling and analysis on multi-core systems. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel cache simulation, analysis, pipelining, profiling, dynamic instrumentation, multi-core systems
22Yifang Liu, Jiang Hu, Weiping Shi Multi-scenario buffer insertion in multi-core processor designs. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-core design, buffer insertion
22Sotaro Ohara, Makoto Suzuki, Shunsuke Saruwatari, Hiroyuki Morikawa A Prototype of a Multi-core Wireless Sensor Node for Reducing Power Consumption. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-core CPU, sensor network, hard real-time, low power consumption
22Rodrigo P. Mendonça, Mario A. R. Dantas A Study of Adaptive Co-scheduling Approach for an Opportunistic Software Environment to Execute in Multi-core and Multi-Processor Configurations. Search on Bibsonomy CSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-core, metacomputing, co-scheduling
22Yue Fu, Jin He 0003, Feng Liu, Jie Feng, Chenyue Ma, Lining Zhang Study on the Si-Ge Nanowire MOSFETs with the Core-Shell Structure. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-classical device modeling, core-shell, quantum mechanical effect, nanowire
22Enric Musoll A Thermal-Friendly Load-Balancing Technique for Multi-Core Processors. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, hot spots, power gating, Multi-core architecture
22Gregory F. Diamos, Sudhakar Yalamanchili Harmony: an execution model and runtime for heterogeneous many core systems. Search on Bibsonomy HPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling, optimization, heterogeneous, gpgpu, performance monitoring, dependency graph, many core, runtime, harmony
22Guangdeng Liao, Danhua Guo, Laxmi N. Bhuyan, Steve R. King Software techniques to improve virtualized I/O performance on multi-core systems. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 10GE, VMM scheduler, virtualization, multi-core, Xen
22Chengkun Xue, Liqun Li, Feng Yang, Patricia P. Wang, Tao Wang 0003, Yimin Zhang 0002, Yankui Sun Automated home video editing: a multi-core solution. Search on Bibsonomy ACM Multimedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF character-scene association, home video editing, multi-core, workload analysis
22Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han Performance modeling for early analysis of multi-core systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling
22Weirong Zhu, Vugranam C. Sreedhar, Ziang Hu, Guang R. Gao Synchronization state buffer: supporting efficient fine-grain synchronization on many-core architectures. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SSB, fine-grain synchronization, many-core
22Arun Kumar 0004, Naresh Jayam, Ashok Srinivasan, Ganapathy Senthilkumar, Pallav K. Baruah, Shakti Kapoor, Murali Krishna, Raghunath Sharma Feasibility study of MPI implementation on the heterogeneous multi-core cell BETM architecture. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cell BE™ processor, heterogeneous multi-core processors, MPI
22Qiang Xu 0001, Nicola Nicolici DFT Infrastructure for Broadside Two-Pattern Test of Core-Based SOCs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded core delay test, System-on-a-chip
22Minsu Ahn, Igor Guskov, Seungyong Lee 0001 Out-of-Core Remeshing of Large Polygonal Meshes. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF semi-regular remeshing, shape compression, Out-of-core algorithm
22Chuan-Kai Yang, Tzi-cker Chiueh Integration of volume decompression and out-of-core iso-surface extraction from irregular volume data. Search on Bibsonomy Vis. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Tetrahedral mesh compression, Iso-surface extraction, Volume rendering, Out-of-core, Irregular grids
22Larry Hughes, Jian Wang Cartesian Core Routing and Cartesian Border Gateway Design. Search on Bibsonomy CNSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cartesian routing, Cartesian core routing, Cartesian border gateway, BGP
22Gregory Buehrer, Srinivasan Parthasarathy 0001, Amol Ghoting Out-of-core frequent pattern mining on a commodity PC. Search on Bibsonomy KDD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF data mining, out of core, pattern mining, itemsets, secondary memory
22Jianjun Guo, Kui Dai, Zhiying Wang 0003 A Heterogeneous Multi-core Processor Architecture for High Performance Computing. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TTA, SoC, heterogeneous, multi-core
22Geoff Lowney Why Intel is designing multi-core processors. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-core processors
22Mikyeong Moon, Keunhyuk Yeom, Heung Seok Chae An Approach to Developing Domain Requirements as a Core Asset Based on Commonality and Variability Analysis in a Product Line. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF core asset, Requirement engineering, reuse, variability, product-line, domain analysis, commonality
22Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi Instruction-level test methodology for CPU core self-testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CPU core testing, Instruction level testing, test instruction set, BIST, pipelined processor, software-based self testing
22Hans-Joachim Stolberg, Mladen Berekovic, Sören Moch, Lars Friebe, Mark Bernd Kulaczewski, Sebastian Flügel, Heiko Klußmann, Andreas Dehnhardt, Peter Pirsch HiBRID-SoC: A Multi-Core SoC Architecture for Multimedia Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, VLSI, system-on-chip, multi-core, surveillance, MPEG-4
22Takanori Kyogoku, Junpei Inoue, Hidenari Nakashima, Takumi Uezono, Kenichi Okada, Kazuya Masu Wire Length Distribution Model Considering Core Utilization for System on Chip. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Wire Length Distribution, core utilization, layout-area allocation, SoC
22Arwen Hutt, Jenn Riley Semantics and syntax of dublin core usage in open archives initiative data providers of cultural heritage materials. Search on Bibsonomy JCDL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF metadata quality, digital libraries, interoperability, dublin core, open archives initiative
22Emanuele Danovaro, Leila De Floriani, Enrico Puppo, Hanan Samet Multi-resolution out-of-core modeling of terrain and teological data. Search on Bibsonomy GIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multi-resolution, terrain models, out-of-core
22Yuri M. Suhov, Utkir A. Rozikov A Hard-Core Model on a Cayley Tree: An Example of a Loss Network. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cayley trees, nearest-neighbor exclusion, hard core, Gibbs measures, translation invariant measures, periodic measures, splitting measures, extreme Gibbs measures, loss networks
22Daniel J. Deleganes, Micah Barany, George L. Geannopoulos, Kurt Kreitzer, Anant P. Singh, Sapumal B. Wijeratne Low voltage swing logic circuits for a Pentium 4 processor integer core. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LVS, Pentium® 4 processor, integer core, low voltage swing, sense-amp, microprocessor, rotator, adder
22Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon A dual-core 64b ultraSPARC microprocessor for dense server applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability
22Evangelos Markakis, Amin Saberi On the core of the multicommodity flow game. Search on Bibsonomy EC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF duality, core, cooperative games
22Vincent Conitzer, Tuomas Sandholm Complexity of determining nonemptiness of the core. Search on Bibsonomy EC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF game theory, core, coalition formation
22Geeta Chaudhry, Elizabeth A. Hamon, Thomas H. Cormen Relaxing the problem-size bound for out-of-core columnsort. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF columnsort, cluster, out-of-core, parallel sorting
22Hung-Ying Tyan, Chao-Ju Hou, Bin Wang 0002 A Framework for Provisioning of Temporal QoS in Core-Based Multicast Routing. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Many to many multicast routing, delay and delay jitter constraints, core-based multicast trees
22Neil Burgess Scaled and Unscaled Residue Number System to Binary Conversion Techniques using the Core Function. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Scaled Conversion, Core Function, Conversion, Residue Number System
22Gilad Shainer Multi-core usage - Multi-core clusters usage model. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge, Krisztián Flautner Evolution of thread-level parallelism in desktop applications. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF benchmarking, multi-core, thread level parallelism, desktop applications
21Tony Bernardin, Brian Budge, Bernd Hamann Stacked-widget visualization of scheduling-based algorithms. Search on Bibsonomy SOFTVIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF out-of-core management, information visualization, task scheduling, data visualization
21Tay-Jyi Lin, Chie-Min Chao, Chia-Hsien Liu, Pi-Chen Hsiao, Shin-Kai Chen, Li-Chun Lin, Chih-Wei Liu, Chein-Wei Jen A unified processor architecture for RISC & VLIW DSP. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-core processor, register organization, variable-length instruction encoding, digital signal processor
21Balaram Sinharoy POWER7 multi-core processor design. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Lu Yang, Zhanqi Cui, Xuandong Li A Case Study for Fault Tolerance Oriented Programming in Multi-core Architecture. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Gongyu Wang, Greg Stitt, Herman Lam, Alan D. George A framework for core-level modeling and design of reconfigurable computing algorithms. Search on Bibsonomy HPRCTA@SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Yexi Jiang, Changjie Tang, Kaikuo Xu, Lei Duan, Liang Tang, Jie Gong, Chuan Li 0002 Core-Tag Clustering for Web 2.0 Based on Multi-similarity Measurements. Search on Bibsonomy APWeb/WAIM Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clustering, tag, folksonomy, skyline, clustering ensemble
21David Slogsnat, Alexander Giese, Mondrian Nüssle, Ulrich Brüning 0001 An open-source HyperTransport core. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF HTX, HyperTransport, FPGA, prototyping, RTL
21Anders Larsson, Erik Larsson, Krishnendu Chakrabarty, Petru Eles, Zebo Peng Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Sadaf R. Alam, Pratul K. Agarwal, Scott S. Hampton, Hong Ong Experimental Evaluation of Molecular Dynamics Simulations on Multi-core Systems. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Performance, Multicore, HPC, Molecular Dynamics Simulation
21Le Liu, Jianhua Feng, Guoliang Li 0001, Qian Qian, Jianhui Li Parallel Structural Join Algorithm on Shared-Memory Multi-Core Systems. Search on Bibsonomy WAIM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Rui Gong, Kui Dai, Zhiying Wang 0003 Transient Fault Recovery on Chip Multiprocessor based on Dual Core Redundancy and Context Saving. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Jianjun Guo, Kui Dai, Ming-che Lai, Zhiying Wang 0003 The P2P Communication Model for a Local Memory based Multi-core Processor. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ashvin Lakshmikantha, R. Srikant 0001, Carolyn L. Beck Impact of File Arrivals and Departures on Buffer Sizing in Core Routers. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21HongZhuan Chen, QiangQiang Zhao The Calculation of Contribution Rate of Education to the Regional Core Competence. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21M. Usman Akram, Anam Tariq, Sarwat Nasir, Assia Khanam Core point detection using improved segmentation and orientation. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Wei Han 0001, Ying Yi, Mark Muir, Ioannis Nousias, Tughrul Arslan, Ahmet Teyfik Erdogan Efficient Implementation of Wireless Applications on Multi-core Platforms Based on Dynamically Reconfigurable Processors. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21David Slogsnat, Alexander Giese, Ulrich Brüning 0001 A versatile, low latency HyperTransport core. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HTX, HyperTransport, FPGA, prototyping, RTL
21Rajdeep Bhowmik, Chaitali Gupta, Madhusudhan Govindaraju, Aneesh Aggarwal Efficient XML-Based Grid Middleware Design for Multi-Core Processors. Search on Bibsonomy ICWS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mira Gonen, Dana Ron, Udi Weinsberg, Avishai Wool Finding a Dense-Core in Jellyfish Graphs. Search on Bibsonomy WAW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Dean M. Tullsen HCW Keynote Address Holistic Design of Multi-Core Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Long Chen 0020, Ziang Hu, Junmin Lin, Guang R. Gao Optimizing the Fast Fourier Transform on a Multi-core Architecture. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21John McAllister, Roger F. Woods, Richard L. Walke, Darren Gerard Reilly Multidimensional DSP Core Synthesis for FPGA. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF rapid implementation, field programmable gate array, heterogeneous system, system level design, dataflow graph, Architectural synthesis
21Tay-Jyi Lin, Hung-Yueh Lin, Chie-Min Chao, Chih-Wei Liu, Chih-Wei Jen A Compact DSP Core with Static Floating-Point Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Gang Cheng 0003, Li Zhu, Nirwan Ansari A new deterministic traffic model for core-stateless scheduling. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Suk Kyung Shin, Jin Sun Her, Soo Dong Kim Applying Formal Approach to Core Asset Instantiation in Product Line Engineering. Search on Bibsonomy APSEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Shih-Hao Ou, Tay-Jyi Lin, Chao-Wei Huang, Yu-Ting Kuo, Chie-Min Chao, Chih-Wei Liu, Chein-Wei Jen A 52mW 1200MIPS compact DSP for multi-core media SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jakob Puchinger, Günther R. Raidl, Ulrich Pferschy The Core Concept for the Multidimensional Knapsack Problem. Search on Bibsonomy EvoCOP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Christian El Salloum, Andreas Steininger, Peter Tummeltshammer, Werner Harter Recovery Mechanisms for Dual Core Architectures. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Kuan-Hung Chen, Jiun-In Guo, Jinn-Shyan Wang, Ching-Wei Yeh, Jia-Wei Chen An Energy-Aware IP Core Design for the Variable-Length DCT/IDCT Targeting at MPEG4 Shape-Adaptive Transforms. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Tomohiko Ohtsuka, Akiyoshi Kondo A new approach to detect core and delta of the fingerprint using extended relational graph. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Cheng-Nan Chiu, Chien-Tang Tseng, Chun-Jen Tsai Tightly-coupled MPEG-4 video encoder framework on asymmetric dual-core platforms. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21René Beier, Berthold Vöcking Probabilistic analysis of knapsack core algorithms. Search on Bibsonomy SODA The full citation details ... 2004 DBLP  BibTeX  RDF
21Chan-Eun Rhee, Han-You Jeong, Soonhoi Ha Many-to-Many Core-Switch Mapping in 2-D Mesh NoC Architectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Hazem Said, Louise Chaytor, Daniel Humpert, John Nyland, Robert Schlemmer, Mark Stockman, Chris Christopherson, Patrick Kumpf, Annu Prabhakar, Vali Tadayon, Soleda Leung, Sam Geonetta, Russell E. McMahon, Tamisra Sanyal, Tom Wulf An implementation of a core curriculum in an information technology degree program. Search on Bibsonomy SIGITE Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF database, networking, information technology, software, curriculum, web technologies
21Mihai Badoiu, Kenneth L. Clarkson Smaller core-sets for balls. Search on Bibsonomy SODA The full citation details ... 2003 DBLP  BibTeX  RDF
21Idris Hsi, Colin Potts, Melody M. Moore Ontological Excavation: Unearthing the core concepts of the application. Search on Bibsonomy WCRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reverse engineering, software metrics, software evolution, domain analysis
21Nancy Virgil Morgan An Overview of Metadata for E-Learning, focusing on the Gateway to Educational Materials and activities of the Dublin Core Education Working Group. Search on Bibsonomy SAINT Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Gilson A. Giraldi, Leandro Schaefer, Ricardo C. Farias, Rodrigo L. S. Silva Out-of-Core Segmentation by Deformable Models. Search on Bibsonomy WILF The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Ozgur Sinanoglu, Alex Orailoglu Partial Core Encryption for Performance-Efficient Test of SOCs. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21George S. Almási, Leonardo R. Bachega, Siddhartha Chatterjee, Manish Gupta 0002, Derek Lieber, Xavier Martorell, José E. Moreira Enabling Dual-Core Mode in BlueGene/L: Challenges and Solutions. Search on Bibsonomy SBAC-PAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21John S. Davis, David C. Keezer, Odile Liboiron-Ladouceur, Keren Bergman Application and Demonstration of a Digital Test Core: Optoelectronic Test Bed and Wafer-level Prober. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21G. Y. Wang Attribute Core of Decision Table. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Tyng-Ruey Chuang, Shin-Cheng Mu Out-of-Core Functional Programming with Type-Based Primitives. Search on Bibsonomy PADL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Zhi-Li Zhang Decoupling QoS control from core routers: A novel bandwidth broker architecture for scalable support of guaranteed services. Search on Bibsonomy SIGCOMM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Mikael Goldmann, Alexander Russell Spectral Bounds on General Hard Core Predicates. Search on Bibsonomy STACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Marian Bubak, Piotr Luszczek Towards Portable Runtime Support for Irregular and Out-of-Core Computations. Search on Bibsonomy PVM/MPI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Mehrdad Nourani, Christos A. Papachristou A Bypass Scheme for Core-Based System Fault Testing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Indradeep Ghosh, Sujit Dey, Niraj K. Jha A Fast and Low Cost Testing Technique for Core-Based System-on-Chip. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 28653 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license