|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 14709 occurrences of 6001 keywords
|
|
|
Results
Found 28669 publication records. Showing 28653 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
22 | Michael A. Kochte, Marcel Schaal, Hans-Joachim Wunderlich, Christian G. Zoellin |
Efficient fault simulation on many-core processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 380-385, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
PPSFP, parallel fault simulation, many-core processors |
22 | Renan Alves Fonseca, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Nabil Badereddine |
A statistical simulation method for reliability analysis of SRAM core-cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 853-856, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
SRAM core-cell, Monte-Carlo, reliability analysis |
22 | Chang-Burm Cho, Wangyuan Zhang, Tao Li 0006 |
Thermal Design Space Exploration of 3D Die Stacked Multi-core Processors Using Geospatial-Based Predictive Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPEC Benchmark Workshop ![In: Computer Performance Evaluation and Benchmarking, SPEC Benchmark Workshop 2009, Austin, TX, USA, January 25, 2009. Proceedings, pp. 102-120, 2009, Springer, 978-3-540-93798-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Thermal/power characterization, 3D die stacking, analytical modeling, multi-core architecture |
22 | Guoping Long, Dongrui Fan, Junchao Zhang |
Characterizing and Understanding the Bandwidth Behavior of Workloads on Multi-core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings, pp. 110-121, 2009, Springer, 978-3-642-03868-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
phase model, memory bandwidth, multi-core architecture |
22 | Carsten Trinitis, Tilman Küstner, Josef Weidendorfer, Jasmin Smajic |
Sparse Matrix Operations on Multi-core Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 10th International Conference, PaCT 2009, Novosibirsk, Russia, August 31-September 4, 2009. Proceedings, pp. 41-48, 2009, Springer, 978-3-642-03274-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Multi-core, performance optimization, sparse matrices, cache optimization, pinning |
22 | Lakshminarasimhan Seshagiri, Masha Sosonkina, Zhao Zhang 0010 |
Electronic Structure Calculations and Adaptation Scheme in Multi-core Computing Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS (1) ![In: Computational Science - ICCS 2009, 9th International Conference, Baton Rouge, LA, USA, May 25-27, 2009, Proceedings, Part I, pp. 3-12, 2009, Springer, 978-3-642-01969-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
GAMESS, Niagara, NICAN, Adaptation, Multi-Core |
22 | Alexander S. van Amesfoort, Ana Lucia Varbanescu, Henk J. Sips, Rob van Nieuwpoort |
Evaluating multi-core platforms for HPC data-intensive kernels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 6th Conference on Computing Frontiers, 2009, Ischia, Italy, May 18-20, 2009, pp. 207-216, 2009, ACM, 978-1-60558-413-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data-intensive kernels, memory-bound applications, the cell processor, multi-core processors, gpus |
22 | Ioannis E. Venetis, Guang R. Gao |
Mapping the LU decomposition on a many-core architecture: challenges and solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 6th Conference on Computing Frontiers, 2009, Ischia, Italy, May 18-20, 2009, pp. 71-80, 2009, ACM, 978-1-60558-413-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
register tiling, load balancing, multi-core, local memory, LU decomposition |
22 | Dong Su, Kewei Lv |
A New Hard-Core Predicate of Paillier's Trapdoor Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INDOCRYPT ![In: Progress in Cryptology - INDOCRYPT 2009, 10th International Conference on Cryptology in India, New Delhi, India, December 13-16, 2009. Proceedings, pp. 263-271, 2009, Springer, 978-3-642-10627-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Paillier’s trapdoor function, Hard-core Predicate, Most significant bit |
22 | Helena Keinänen |
Local Search Algorithms for Core Checking in Hedonic Coalition Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCI ![In: Computational Collective Intelligence. Semantic Web, Social Networks and Multiagent Systems, First International Conference, ICCCI 2009, Wroclaw, Poland, October 5-7, 2009. Proceedings, pp. 51-62, 2009, Springer, 978-3-642-04440-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multi-agent systems, game theory, core |
22 | Jean-Luc Beuchat, Emmanuel López-Trejo, Luis Martínez-Ramos, Shigeo Mitsunari, Francisco Rodríguez-Henríquez |
Multi-core Implementation of the Tate Pairing over Supersingular Elliptic Curves. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANS ![In: Cryptology and Network Security, 8th International Conference, CANS 2009, Kanazawa, Japan, December 12-14, 2009. Proceedings, pp. 413-432, 2009, Springer, 978-3-642-10432-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
supersingular curve, multi-core, Tate pairing, finite field arithmetic, ? T pairing |
22 | Imam Machdi, Toshiyuki Amagasa, Hiroyuki Kitagawa |
Executing parallel TwigStack algorithm on a multi-core system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
iiWAS ![In: iiWAS'2009 - The Eleventh International Conference on Information Integration and Web-based Applications and Services, 14-16 December 2009, Kuala Lumpur, Malaysia, pp. 176-184, 2009, ACM, 978-1-60558-660-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
XML data partition, parallel TwigStack, multi-core system |
22 | Krishna K. Rangan, Gu-Yeon Wei, David M. Brooks |
Thread motion: fine-grained power management for multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 302-313, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multi-core power management, thread motion, dvfs |
22 | Shu-Hsuan Chou, Chien-Chih Chen, Chi-Neng Wen, Yi-Chao Chan, Tien-Fu Chen, Chao-Ching Wang, Jinn-Shyan Wang |
No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 587-592, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
level-1 non-uniform cache architecture, ring interconnection, single-cycle transactions, multi-core, NOC, SOC, arbitration, memory structure |
22 | Fadi N. Sibai |
Evaluating the performance of single and multiple core processors with PCMARK®05 and benchmark analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS Perform. Evaluation Rev. ![In: SIGMETRICS Perform. Evaluation Rev. 35(4), pp. 62-71, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
performance benchmark, single and dual core processors, workload characterization |
22 | Tianzhou Chen, Jiangwei Huang, Lingxiang Xiang, Qingsong Shi |
Dynamic power management framework for multi-core portable embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the 1st international forum on Next-generation multicore/manycore technologies, IFMT 2008, Cairo, Egypt, November 24-25, 2008, pp. 1, 2008, ACM, 978-1-60558-407-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
embedded system, power management, DVS, multi-core |
22 | Lei Gao, Ming-che Lai, Zhenghu Gong |
Exploiting the Thread-Level Parallelism for BGP on Multi-core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CNSR ![In: Sixth Annual Conference on Communication Networks and Services Research (CNSR 2008), 5-8 May 2008, Halifax, Nova Scotia, Canada, pp. 510-516, 2008, IEEE Computer Society, 978-0-7695-3135-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallelism, multi-core, BGP |
22 | Qin Zhao, Ioana Cutcutache, Weng-Fai Wong |
Pipa: pipelined profiling and analysis on multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 185-194, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel cache simulation, analysis, pipelining, profiling, dynamic instrumentation, multi-core systems |
22 | Yifang Liu, Jiang Hu, Weiping Shi |
Multi-scenario buffer insertion in multi-core processor designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 15-22, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-core design, buffer insertion |
22 | Sotaro Ohara, Makoto Suzuki, Shunsuke Saruwatari, Hiroyuki Morikawa |
A Prototype of a Multi-core Wireless Sensor Node for Reducing Power Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT ![In: Proceedings of the 2008 International Symposium on Applications and the Internet, SAINT 2008, 28 July - 1 August 2008, Turku, Finland, pp. 369-372, 2008, IEEE Computer Society, 978-0-7695-3297-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-core CPU, sensor network, hard real-time, low power consumption |
22 | Rodrigo P. Mendonça, Mario A. R. Dantas |
A Study of Adaptive Co-scheduling Approach for an Opportunistic Software Environment to Execute in Multi-core and Multi-Processor Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE ![In: Proceedings of the 11th IEEE International Conference on Computational Science and Engineering, CSE 2008, São Paulo, SP, Brazil, July 16-18, 2008, pp. 41-47, 2008, IEEE Computer Society, 978-0-7695-3193-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-core, metacomputing, co-scheduling |
22 | Yue Fu, Jin He 0003, Feng Liu, Jie Feng, Chenyue Ma, Lining Zhang |
Study on the Si-Ge Nanowire MOSFETs with the Core-Shell Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 531-536, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
non-classical device modeling, core-shell, quantum mechanical effect, nanowire |
22 | Enric Musoll |
A Thermal-Friendly Load-Balancing Technique for Multi-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 549-552, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low power, hot spots, power gating, Multi-core architecture |
22 | Gregory F. Diamos, Sudhakar Yalamanchili |
Harmony: an execution model and runtime for heterogeneous many core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 17th International Symposium on High-Performance Distributed Computing (HPDC-17 2008), 23-27 June 2008, Boston, MA, USA, pp. 197-200, 2008, ACM, 978-1-59593-997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
scheduling, optimization, heterogeneous, gpgpu, performance monitoring, dependency graph, many core, runtime, harmony |
22 | Guangdeng Liao, Danhua Guo, Laxmi N. Bhuyan, Steve R. King |
Software techniques to improve virtualized I/O performance on multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2008 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2008, San Jose, California, USA, November 6-7, 2008, pp. 161-170, 2008, ACM, 978-1-60558-346-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
10GE, VMM scheduler, virtualization, multi-core, Xen |
22 | Chengkun Xue, Liqun Li, Feng Yang, Patricia P. Wang, Tao Wang 0003, Yimin Zhang 0002, Yankui Sun |
Automated home video editing: a multi-core solution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 15th International Conference on Multimedia 2007, Augsburg, Germany, September 24-29, 2007, pp. 453-454, 2007, ACM, 978-1-59593-702-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
character-scene association, home video editing, multi-core, workload analysis |
22 | Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han |
Performance modeling for early analysis of multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 209-214, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling |
22 | Weirong Zhu, Vugranam C. Sreedhar, Ziang Hu, Guang R. Gao |
Synchronization state buffer: supporting efficient fine-grain synchronization on many-core architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 35-45, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
SSB, fine-grain synchronization, many-core |
22 | Arun Kumar 0004, Naresh Jayam, Ashok Srinivasan, Ganapathy Senthilkumar, Pallav K. Baruah, Shakti Kapoor, Murali Krishna, Raghunath Sharma |
Feasibility study of MPI implementation on the heterogeneous multi-core cell BETM architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2007: Proceedings of the 19th Annual ACM Symposium on Parallelism in Algorithms and Architectures, San Diego, California, USA, June 9-11, 2007, pp. 55-56, 2007, ACM, 978-1-59593-667-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
cell BE™ processor, heterogeneous multi-core processors, MPI |
22 | Qiang Xu 0001, Nicola Nicolici |
DFT Infrastructure for Broadside Two-Pattern Test of Core-Based SOCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(4), pp. 470-485, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded core delay test, System-on-a-chip |
22 | Minsu Ahn, Igor Guskov, Seungyong Lee 0001 |
Out-of-Core Remeshing of Large Polygonal Meshes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 1221-1228, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
semi-regular remeshing, shape compression, Out-of-core algorithm |
22 | Chuan-Kai Yang, Tzi-cker Chiueh |
Integration of volume decompression and out-of-core iso-surface extraction from irregular volume data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 22(4), pp. 249-265, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Tetrahedral mesh compression, Iso-surface extraction, Volume rendering, Out-of-core, Irregular grids |
22 | Larry Hughes, Jian Wang |
Cartesian Core Routing and Cartesian Border Gateway Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CNSR ![In: Fourth Annual Conference on Communication Networks and Services Research (CNSR 2006), 24-25 May 2006, Moncton, New Brunswick, Canada, pp. 317-319, 2006, IEEE Computer Society, 0-7695-2578-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Cartesian routing, Cartesian core routing, Cartesian border gateway, BGP |
22 | Gregory Buehrer, Srinivasan Parthasarathy 0001, Amol Ghoting |
Out-of-core frequent pattern mining on a commodity PC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the Twelfth ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Philadelphia, PA, USA, August 20-23, 2006, pp. 86-95, 2006, ACM, 1-59593-339-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
data mining, out of core, pattern mining, itemsets, secondary memory |
22 | Jianjun Guo, Kui Dai, Zhiying Wang 0003 |
A Heterogeneous Multi-core Processor Architecture for High Performance Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 359-365, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
TTA, SoC, heterogeneous, multi-core |
22 | Geoff Lowney |
Why Intel is designing multi-core processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006, pp. 113, 2006, ACM, 1-59593-452-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multi-core processors |
22 | Mikyeong Moon, Keunhyuk Yeom, Heung Seok Chae |
An Approach to Developing Domain Requirements as a Core Asset Based on Commonality and Variability Analysis in a Product Line. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 31(7), pp. 551-569, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
core asset, Requirement engineering, reuse, variability, product-line, domain analysis, commonality |
22 | Saeed Shamshiri, Hadi Esmaeilzadeh, Zainalabedin Navabi |
Instruction-level test methodology for CPU core self-testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 10(4), pp. 673-689, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
CPU core testing, Instruction level testing, test instruction set, BIST, pipelined processor, software-based self testing |
22 | Hans-Joachim Stolberg, Mladen Berekovic, Sören Moch, Lars Friebe, Mark Bernd Kulaczewski, Sebastian Flügel, Heiko Klußmann, Andreas Dehnhardt, Peter Pirsch |
HiBRID-SoC: A Multi-Core SoC Architecture for Multimedia Signal Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 41(1), pp. 9-20, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
multimedia, VLSI, system-on-chip, multi-core, surveillance, MPEG-4 |
22 | Takanori Kyogoku, Junpei Inoue, Hidenari Nakashima, Takumi Uezono, Kenichi Okada, Kazuya Masu |
Wire Length Distribution Model Considering Core Utilization for System on Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), New Frontiers in VLSI Design, 11-12 May 2005, Tampa, FL, USA, pp. 276-277, 2005, IEEE Computer Society, 0-7695-2365-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Wire Length Distribution, core utilization, layout-area allocation, SoC |
22 | Arwen Hutt, Jenn Riley |
Semantics and syntax of dublin core usage in open archives initiative data providers of cultural heritage materials. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JCDL ![In: ACM/IEEE Joint Conference on Digital Libraries, JCDL 2005, Denver, CO, USA, June 7-11, 2005, Proceedings, pp. 262-270, 2005, ACM, 1-58113-876-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
metadata quality, digital libraries, interoperability, dublin core, open archives initiative |
22 | Emanuele Danovaro, Leila De Floriani, Enrico Puppo, Hanan Samet |
Multi-resolution out-of-core modeling of terrain and teological data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIS ![In: 13th ACM International Workshop on Geographic Information Systems, ACM-GIS 2005, November 4-5, 2005, Bremen, Germany, Proceedings, pp. 143-152, 2005, ACM, 1-59593-146-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
multi-resolution, terrain models, out-of-core |
22 | Yuri M. Suhov, Utkir A. Rozikov |
A Hard-Core Model on a Cayley Tree: An Example of a Loss Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 46(1-2), pp. 197-212, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Cayley trees, nearest-neighbor exclusion, hard core, Gibbs measures, translation invariant measures, periodic measures, splitting measures, extreme Gibbs measures, loss networks |
22 | Daniel J. Deleganes, Micah Barany, George L. Geannopoulos, Kurt Kreitzer, Anant P. Singh, Sapumal B. Wijeratne |
Low voltage swing logic circuits for a Pentium 4 processor integer core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 678-680, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
LVS, Pentium® 4 processor, integer core, low voltage swing, sense-amp, microprocessor, rotator, adder |
22 | Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon |
A dual-core 64b ultraSPARC microprocessor for dense server applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 673-677, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability |
22 | Evangelos Markakis, Amin Saberi |
On the core of the multicommodity flow game. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EC ![In: Proceedings 4th ACM Conference on Electronic Commerce (EC-2003), San Diego, California, USA, June 9-12, 2003, pp. 93-97, 2003, ACM, 1-58113-679-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
duality, core, cooperative games |
22 | Vincent Conitzer, Tuomas Sandholm |
Complexity of determining nonemptiness of the core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EC ![In: Proceedings 4th ACM Conference on Electronic Commerce (EC-2003), San Diego, California, USA, June 9-12, 2003, pp. 230-231, 2003, ACM, 1-58113-679-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
game theory, core, coalition formation |
22 | Geeta Chaudhry, Elizabeth A. Hamon, Thomas H. Cormen |
Relaxing the problem-size bound for out-of-core columnsort. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2003: Proceedings of the Fifteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, June 7-9, 2003, San Diego, California, USA (part of FCRC 2003), pp. 250-251, 2003, ACM, 1-58113-661-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
columnsort, cluster, out-of-core, parallel sorting |
22 | Hung-Ying Tyan, Chao-Ju Hou, Bin Wang 0002 |
A Framework for Provisioning of Temporal QoS in Core-Based Multicast Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 20th IEEE Real-Time Systems Symposium, Phoenix, AZ, USA, December 1-3, 1999, pp. 168-178, 1999, IEEE Computer Society, 0-7695-0475-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Many to many multicast routing, delay and delay jitter constraints, core-based multicast trees |
22 | Neil Burgess |
Scaled and Unscaled Residue Number System to Binary Conversion Techniques using the Core Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 13th Symposium on Computer Arithmetic (ARITH-13 '97), 6-9 July 1997, Asilomar, CA, USA, pp. 250-, 1997, IEEE Computer Society, 0-8186-7846-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Scaled Conversion, Core Function, Conversion, Residue Number System |
22 | Gilad Shainer |
Multi-core usage - Multi-core clusters usage model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 33, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge, Krisztián Flautner |
Evolution of thread-level parallelism in desktop applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 302-313, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
benchmarking, multi-core, thread level parallelism, desktop applications |
21 | Tony Bernardin, Brian Budge, Bernd Hamann |
Stacked-widget visualization of scheduling-based algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFTVIS ![In: Proceedings of the ACM 2008 Symposium on Software Visualization, Ammersee, Germany, September 16-17, 2008, pp. 165-174, 2008, ACM, 978-1-60558-112-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
out-of-core management, information visualization, task scheduling, data visualization |
21 | Tay-Jyi Lin, Chie-Min Chao, Chia-Hsien Liu, Pi-Chen Hsiao, Shin-Kai Chen, Li-Chun Lin, Chih-Wei Liu, Chein-Wei Jen |
A unified processor architecture for RISC & VLIW DSP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005, pp. 50-55, 2005, ACM, 1-59593-057-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
dual-core processor, register organization, variable-length instruction encoding, digital signal processor |
21 | Balaram Sinharoy |
POWER7 multi-core processor design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 1, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Lu Yang, Zhanqi Cui, Xuandong Li |
A Case Study for Fault Tolerance Oriented Programming in Multi-core Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 11th IEEE International Conference on High Performance Computing and Communications, HPCC 2009, 25-27 June 2009, Seoul, Korea, pp. 630-635, 2009, IEEE, 978-0-7695-3738-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Gongyu Wang, Greg Stitt, Herman Lam, Alan D. George |
A framework for core-level modeling and design of reconfigurable computing algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPRCTA@SC ![In: Proceedings of the Third International Workshop on High-Performance Reconfigurable Computing Technology and Applications, HPRTCA 2009, November 15, 2009, Portland, Oregon, USA, pp. 29-38, 2009, ACM, 978-1-60558-721-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Yexi Jiang, Changjie Tang, Kaikuo Xu, Lei Duan, Liang Tang, Jie Gong, Chuan Li 0002 |
Core-Tag Clustering for Web 2.0 Based on Multi-similarity Measurements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb/WAIM Workshops ![In: Advances in Web and Network Technologies, and Information Management, APWeb/WAIM 2009 International Workshops: WCMT 2009, RTBI 2009, DBIR-ENQOIR 2009, PAIS 2009, Suzhou, China, April 2-4, 2009, Revised Selected Papers, pp. 222-233, 2009, Springer, 978-3-642-03995-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
clustering, tag, folksonomy, skyline, clustering ensemble |
21 | David Slogsnat, Alexander Giese, Mondrian Nüssle, Ulrich Brüning 0001 |
An open-source HyperTransport core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Reconfigurable Technol. Syst. ![In: ACM Trans. Reconfigurable Technol. Syst. 1(3), pp. 14:1-14:21, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
HTX, HyperTransport, FPGA, prototyping, RTL |
21 | Anders Larsson, Erik Larsson, Krishnendu Chakrabarty, Petru Eles, Zebo Peng |
Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 188-193, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Sadaf R. Alam, Pratul K. Agarwal, Scott S. Hampton, Hong Ong |
Experimental Evaluation of Molecular Dynamics Simulations on Multi-core Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings, pp. 131-141, 2008, Springer, 978-3-540-89893-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Performance, Multicore, HPC, Molecular Dynamics Simulation |
21 | Le Liu, Jianhua Feng, Guoliang Li 0001, Qian Qian, Jianhui Li |
Parallel Structural Join Algorithm on Shared-Memory Multi-Core Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAIM ![In: The Ninth International Conference on Web-Age Information Management, WAIM 2008, July 20-22, 2008, Zhangjiajie, China, pp. 70-77, 2008, IEEE Computer Society, 978-0-7695-3185-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Rui Gong, Kui Dai, Zhiying Wang 0003 |
Transient Fault Recovery on Chip Multiprocessor based on Dual Core Redundancy and Context Saving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICYCS ![In: Proceedings of the 9th International Conference for Young Computer Scientists, ICYCS 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008, pp. 148-153, 2008, IEEE Computer Society, 978-0-7695-3398-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Jianjun Guo, Kui Dai, Ming-che Lai, Zhiying Wang 0003 |
The P2P Communication Model for a Local Memory based Multi-core Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICYCS ![In: Proceedings of the 9th International Conference for Young Computer Scientists, ICYCS 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008, pp. 1354-1359, 2008, IEEE Computer Society, 978-0-7695-3398-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Ashvin Lakshmikantha, R. Srikant 0001, Carolyn L. Beck |
Impact of File Arrivals and Departures on Buffer Sizing in Core Routers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2008. 27th IEEE International Conference on Computer Communications, Joint Conference of the IEEE Computer and Communications Societies, 13-18 April 2008, Phoenix, AZ, USA, pp. 86-90, 2008, IEEE, 978-1-4244-2026-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | HongZhuan Chen, QiangQiang Zhao |
The Calculation of Contribution Rate of Education to the Regional Core Competence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (5) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 5: E-learning and Knowledge Management / Socially Informed and Instructinal Design / Learning Systems Platforms and Architectures / Modeling and Representation / Other Applications , December 12-14, 2008, Wuhan, China, pp. 1013-1016, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | M. Usman Akram, Anam Tariq, Sarwat Nasir, Assia Khanam |
Core point detection using improved segmentation and orientation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICCSA ![In: The 6th ACS/IEEE International Conference on Computer Systems and Applications, AICCSA 2008, Doha, Qatar, March 31 - April 4, 2008, pp. 637-644, 2008, IEEE Computer Society, 978-1-4244-1967-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Wei Han 0001, Ying Yi, Mark Muir, Ioannis Nousias, Tughrul Arslan, Ahmet Teyfik Erdogan |
Efficient Implementation of Wireless Applications on Multi-core Platforms Based on Dynamically Reconfigurable Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISIS ![In: Second International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2008), March 4th-7th, 2008, Technical University of Catalonia, Barcelona, Spain, pp. 837-842, 2008, IEEE Computer Society, 978-0-7695-3109-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | David Slogsnat, Alexander Giese, Ulrich Brüning 0001 |
A versatile, low latency HyperTransport core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays, FPGA 2007, Monterey, California, USA, February 18-20, 2007, pp. 45-52, 2007, ACM, 978-1-59593-600-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
HTX, HyperTransport, FPGA, prototyping, RTL |
21 | Rajdeep Bhowmik, Chaitali Gupta, Madhusudhan Govindaraju, Aneesh Aggarwal |
Efficient XML-Based Grid Middleware Design for Multi-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2007 IEEE International Conference on Web Services (ICWS 2007), July 9-13, 2007, Salt Lake City, Utah, USA, pp. 1197-1198, 2007, IEEE Computer Society, 0-7695-2924-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Mira Gonen, Dana Ron, Udi Weinsberg, Avishai Wool |
Finding a Dense-Core in Jellyfish Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAW ![In: Algorithms and Models for the Web-Graph, 5th International Workshop, WAW 2007, San Diego, CA, USA, December 11-12, 2007, Proceedings, pp. 29-40, 2007, Springer, 978-3-540-77003-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Dean M. Tullsen |
HCW Keynote Address Holistic Design of Multi-Core Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Long Chen 0020, Ziang Hu, Junmin Lin, Guang R. Gao |
Optimizing the Fast Fourier Transform on a Multi-core Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-8, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | John McAllister, Roger F. Woods, Richard L. Walke, Darren Gerard Reilly |
Multidimensional DSP Core Synthesis for FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 43(2-3), pp. 207-221, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
rapid implementation, field programmable gate array, heterogeneous system, system level design, dataflow graph, Architectural synthesis |
21 | Tay-Jyi Lin, Hung-Yueh Lin, Chie-Min Chao, Chih-Wei Liu, Chih-Wei Jen |
A Compact DSP Core with Static Floating-Point Arithmetic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 42(2), pp. 127-138, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Gang Cheng 0003, Li Zhu, Nirwan Ansari |
A new deterministic traffic model for core-stateless scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 54(4), pp. 704-713, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Suk Kyung Shin, Jin Sun Her, Soo Dong Kim |
Applying Formal Approach to Core Asset Instantiation in Product Line Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 13th Asia-Pacific Software Engineering Conference (APSEC 2006), 6-8 December 2006, Bangalore, India, pp. 427-434, 2006, IEEE Computer Society, 0-7695-2685-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Shih-Hao Ou, Tay-Jyi Lin, Chao-Wei Huang, Yu-Ting Kuo, Chie-Min Chao, Chih-Wei Liu, Chein-Wei Jen |
A 52mW 1200MIPS compact DSP for multi-core media SoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 118-119, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Jakob Puchinger, Günther R. Raidl, Ulrich Pferschy |
The Core Concept for the Multidimensional Knapsack Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EvoCOP ![In: Evolutionary Computation in Combinatorial Optimization, 6th European Conference, EvoCOP 2006, Budapest, Hungary, April 10-12, 2006, Proceedings, pp. 195-208, 2006, Springer, 3-540-33178-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Christian El Salloum, Andreas Steininger, Peter Tummeltshammer, Werner Harter |
Recovery Mechanisms for Dual Core Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA, pp. 380-388, 2006, IEEE Computer Society, 0-7695-2706-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Kuan-Hung Chen, Jiun-In Guo, Jinn-Shyan Wang, Ching-Wei Yeh, Jia-Wei Chen |
An Energy-Aware IP Core Design for the Variable-Length DCT/IDCT Targeting at MPEG4 Shape-Adaptive Transforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 15(5), pp. 704-715, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Tomohiko Ohtsuka, Akiyoshi Kondo |
A new approach to detect core and delta of the fingerprint using extended relational graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (3) ![In: Proceedings of the 2005 International Conference on Image Processing, ICIP 2005, Genoa, Italy, September 11-14, 2005, pp. 249-252, 2005, IEEE, 0-7803-9134-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Cheng-Nan Chiu, Chien-Tang Tseng, Chun-Jen Tsai |
Tightly-coupled MPEG-4 video encoder framework on asymmetric dual-core platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 2132-2135, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | René Beier, Berthold Vöcking |
Probabilistic analysis of knapsack core algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Fifteenth Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2004, New Orleans, Louisiana, USA, January 11-14, 2004, pp. 468-477, 2004, SIAM, 0-89871-558-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
21 | Chan-Eun Rhee, Han-You Jeong, Soonhoi Ha |
Many-to-Many Core-Switch Mapping in 2-D Mesh NoC Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 438-443, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Hazem Said, Louise Chaytor, Daniel Humpert, John Nyland, Robert Schlemmer, Mark Stockman, Chris Christopherson, Patrick Kumpf, Annu Prabhakar, Vali Tadayon, Soleda Leung, Sam Geonetta, Russell E. McMahon, Tamisra Sanyal, Tom Wulf |
An implementation of a core curriculum in an information technology degree program. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGITE Conference ![In: Proceedings of the 5th Conference on Information Technology Education, SIGITE 2004, 2004, Salt Lake City, UT, USA, October 28-30, 2004, pp. 94-100, 2004, ACM, 1-58113-936-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
database, networking, information technology, software, curriculum, web technologies |
21 | Mihai Badoiu, Kenneth L. Clarkson |
Smaller core-sets for balls. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Fourteenth Annual ACM-SIAM Symposium on Discrete Algorithms, January 12-14, 2003, Baltimore, Maryland, USA., pp. 801-802, 2003, ACM/SIAM, 0-89871-538-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
21 | Idris Hsi, Colin Potts, Melody M. Moore |
Ontological Excavation: Unearthing the core concepts of the application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCRE ![In: 10th Working Conference on Reverse Engineering, WCRE 2003, Victoria, Canada, November 13-16, 2003, pp. 345-352, 2003, IEEE Computer Society, 0-7695-2027-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
reverse engineering, software metrics, software evolution, domain analysis |
21 | Nancy Virgil Morgan |
An Overview of Metadata for E-Learning, focusing on the Gateway to Educational Materials and activities of the Dublin Core Education Working Group. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT Workshops ![In: 2003 Symposium on Applications and the Internet Workshops (SAINT 2003), 27-31 January 2003 - Orlando, FL, USA, Proceedings, pp. 399, 2003, IEEE Computer Society, 0-7695-1873-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Gilson A. Giraldi, Leandro Schaefer, Ricardo C. Farias, Rodrigo L. S. Silva |
Out-of-Core Segmentation by Deformable Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WILF ![In: Fuzzy Logic and Applications, 5th International Workshop, WILF 2003, Naples, Italy, October 9-11, 2003, Revised Selected Papers, pp. 216-223, 2003, Springer, 3-540-31019-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Ozgur Sinanoglu, Alex Orailoglu |
Partial Core Encryption for Performance-Efficient Test of SOCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 91-94, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | George S. Almási, Leonardo R. Bachega, Siddhartha Chatterjee, Manish Gupta 0002, Derek Lieber, Xavier Martorell, José E. Moreira |
Enabling Dual-Core Mode in BlueGene/L: Challenges and Solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 15th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2003), 10-12 November 2003, Sao Paulo, Brazil, pp. 19-27, 2003, IEEE Computer Society, 0-7695-2046-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | John S. Davis, David C. Keezer, Odile Liboiron-Ladouceur, Keren Bergman |
Application and Demonstration of a Digital Test Core: Optoelectronic Test Bed and Wafer-level Prober. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, USA, pp. 166-174, 2003, IEEE Computer Society, 0-7803-8106-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | G. Y. Wang |
Attribute Core of Decision Table. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Rough Sets and Current Trends in Computing ![In: Rough Sets and Current Trends in Computing, Third International Conference, RSCTC 2002, Malvern, PA, USA, October 14-16, 2002, Proceedings, pp. 213-217, 2002, Springer, 3-540-44274-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Tyng-Ruey Chuang, Shin-Cheng Mu |
Out-of-Core Functional Programming with Type-Based Primitives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, Second International Workshop, PADL 2000, Boston, MA, USA, January 2000, Proceedings, pp. 32-46, 2000, Springer, 3-540-66992-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Zhi-Li Zhang |
Decoupling QoS control from core routers: A novel bandwidth broker architecture for scalable support of guaranteed services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the ACM SIGCOMM 2000 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communication, August 28 - September 1, 2000, Stockholm, Sweden, pp. 71-83, 2000, ACM, 1-58113-223-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Mikael Goldmann, Alexander Russell |
Spectral Bounds on General Hard Core Predicates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STACS ![In: STACS 2000, 17th Annual Symposium on Theoretical Aspects of Computer Science, Lille, France, February 2000, Proceedings, pp. 614-625, 2000, Springer, 3-540-67141-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Marian Bubak, Piotr Luszczek |
Towards Portable Runtime Support for Irregular and Out-of-Core Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 6th European PVM/MPI Users' Group Meeting, Barcelona, Spain, September 26-29, 1999, Proceedings, pp. 59-66, 1999, Springer, 3-540-66549-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
21 | Mehrdad Nourani, Christos A. Papachristou |
A Bypass Scheme for Core-Based System Fault Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France, pp. 979-980, 1998, IEEE Computer Society, 0-8186-8359-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
21 | Indradeep Ghosh, Sujit Dey, Niraj K. Jha |
A Fast and Low Cost Testing Technique for Core-Based System-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998., pp. 542-547, 1998, ACM Press, 0-89791-964-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
|
|