The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Haluk Konuk DFT and Test Problems from the Trenches. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Tao Xu 0002, Krishnendu Chakrabarty Design-for-Testability for Digital Microfluidic Biochips. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hongxia Fang, Krishnendu Chakrabarty, Abhijit Jas, Srinivas Patil, Chandra Tirumurti RT-Level Deviation-Based Grading of Functional Test Sequences. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hsiu-Ming Chang 0001, Chin-Hsuan Chen, Kuan-Yu Lin, Kwang-Ting Cheng Calibration and Testing Time Reduction Techniques for a Digitally-Calibrated Pipelined ADC. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Naveen Velamati, Robert Daasch Analytical Model for Multi-site Efficiency with Parallel to Serial Test Times, Yield and Clustering. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Michail Maniatakos, Naghmeh Karimi, Chandra Tirumurti, Abhijit Jas, Yiorgos Makris Instruction-Level Impact Comparison of RT- vs. Gate-Level Faults in a Modern Microprocessor Controller. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bhanu Kapoor Special Session 11C: Embedded Tutorial: System-on-a-Chip Power Management Implications on Validation and Testing. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Livier Lizarraga, Salvador Mir, Gilles Sicard Experimental Validation of a BIST Techcnique for CMOS Active Pixel Sensors. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Julio César Vázquez, Víctor H. Champac, Chuck Hawkins, Jaume Segura 0001 Stuck-Open Fault Leakage and Testing in Nanometer Technologies. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Thomas A. Ziaja, Poh J. Tan Efficient Array Characterization in the UltraSPARC T2. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Richard McLaughlin, Srikanth Venkataraman, Carlston Lim Automated Debug of Speed Path Failures Using Functional Tests. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Masayuki Arai, Akifumi Suto, Kazuhiko Iwasaki, Katsuyuki Nakano, Michihiro Shintani, Kazumi Hatayama, Takashi Aikyo Small Delay Fault Model for Intra-Gate Resistive Open Defects. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Chaoming Zhang, Ranjit Gharpurey, Jacob A. Abraham On-Line Calibration and Power Optimization of RF Systems Using a Built-In Detector. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jianliang Gao, Yinhe Han 0001, Xiaowei Li 0001 A New Post-Silicon Debug Approach Based on Suspect Window. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Davide Appello, Paolo Bernardi, Simone Gerardin, Michelangelo Grosso, Alessandro Paccagnella, Paolo Rech, Matteo Sonza Reorda DfT Reuse for Low-Cost Radiation Testing of SoCs: A Case Study. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara A Synthesis Method to Alleviate Over-Testing of Delay Faults Based on RTL Don't Care Path Identification. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bernard Courtois, Chandu Visweswariah Special Session 8: New Topics: At-Speed Testing in the Face of Process Variations. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ritesh P. Turakhia, Mark Ward, Sandeep Kumar Goel, Brady Benware Bridging DFM Analysis and Volume Diagnostics for Yield Learning - A Case Study. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Rudrajit Datta, Nur A. Touba Exploiting Unused Spare Columns to Improve Memory ECC. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zhen Chen, Dong Xiang, Boxue Yin The ATPG Conflict-Driven Scheme for High Transition Fault Coverage and Low Test Cost. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1 27th IEEE VLSI Test Symposium, VTS 2009, May 3-7, 2009, Santa Cruz, California, USA Search on Bibsonomy VTS The full citation details ... 2009 DBLP  BibTeX  RDF
1Dong Xiang, Boxue Yin, Kwang-Ting Cheng Dynamic Test Compaction for Transition Faults in Broadside Scan Testing Based on an Influence Cone Measure. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yen-Tzu Lin, Chukwuemeka U. Ezekwe, Ronald D. Blanton Physically-Aware N-Detect Test Relaxation. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Erdem Serkan Erdogan, Sule Ozev A Packet Based 2x-Site Test Solution for GSM Transceivers with Limited Tester Resources. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Lin Xie, Azadeh Davoodi, Kewal K. Saluja, Abhishek A. Sinkar False Path Aware Timing Yield Estimation under Variability. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kenneth Blakkan, Mani Soma A Time Domain Method to Measure Oscillator Phase Noise. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sreekumar Menon, Adit D. Singh, Vishwani D. Agrawal Output Hazard-Free Transition Delay Fault Test Generation. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Unni Chandran, Dan Zhao SS-KTC: A High-Testability Low-Overhead Scan Architecture with Multi-level Security Integration. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jaeyong Chung, Jacob A. Abraham Recursive Path Selection for Delay Fault Testing. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Haluk Konuk Defect Detection Differences between Launch-Off-Shift and Launch-Off-Capture in Sense-Amplifier-Based Flip-Flop Testing. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kee Sup Kim Panel: Apprentice - VTS Edition: Season 2. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Gaetan Canivet, Régis Leveugle, Jessy Clédière, Frédéric Valette, Marc Renaudin Characterization of Effective Laser Spots during Attacks in the Configuration of a Virtex-II FPGA. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Te-Hsuan Chen, Yu-Ying Hsiao, Yu-Tsao Hsing, Cheng-Wen Wu An Adaptive-Rate Error Correction Scheme for NAND Flash Memory. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Dariusz Czysz, Jerzy Tyszer Highly X-Tolerant Selective Compaction of Test Responses. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zheng Wang, Duncan M. Hank Walker Compact Delay Test Generation with a Realistic Low Cost Fault Coverage Metric. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kay Suenaga, Sebastià A. Bota, Rodrigo Picos, Eugeni Isern 0001, Miquel Roca 0001, Eugenio García-Moreno Predictive Test Technique for Diagnosis of RF CMOS Receivers. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Xiaochun Yu, Yen-Tzu Lin, Wing Chiu Tam, Osei Poku, Ronald D. Blanton Controlling DPPM through Volume Diagnosis. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Edward Flanigan, Spyros Tragoudas, Arkan Abdulrahman Scalable Compact Test Pattern Generation for Path Delay Faults Based on Functions. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ajay Khoche, Jay Katz, Sauro Landini, Kochen Liao, Neetu Agrawal, Glenn Plowman, Songlin Zuo, Liyang Lai, John Rowe, Thomas Zanon STDF Memory Fail Datalog Standard. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sounil Biswas, Ronald D. Blanton Maintaining Accuracy of Test Compaction through Adaptive Re-learning. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Arani Sinha, Amitava Majumdar 0002, Vasu Ganti Panel: Analog Characterization and Test: The Long Road to Realization. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yiorgos Makris, Haralampos-G. D. Stratigopoulos Special Session 7C: TTTC 2009 Best Doctoral Thesis Contest. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Saeed Shamshiri, Kwang-Ting Cheng Yield and Cost Analysis of a Reliable NoC. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Junxia Ma, Jeremy Lee, Mohammad Tehranipoor Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Anshuman Chandra, Rohit Kapur Bounded Adjacent Fill for Low Capture Power Scan Testing. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF capture power, random fill, shift power, test, low power, scan
1Desta Tadesse, R. Iris Bahar, Joel Grodstein Fast Measurement of the "Non-Deterministic Zone" in Microprocessor Debug Using Maximum Likelihood Estimation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor Diagnosis, Pass/Fail Region, Maximum Likelihood Estimation, Silicon Debug
1Joonsung Park, Hongjoong Shin, Jacob A. Abraham Parallel Loopback Test of Mixed-Signal Circuits. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test Quality and Reliability, Loopback Test, Characterization, Mixed-signal Test, Parallel Test
1Rajarajan Senguttuvan, Soumendu Bhattacharya, Abhijit Chatterjee Fast Accurate Tests for Multi-Carrier Transceiver Specifications: EVM and Noise. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communication systems, test time, manufacturing testing
1Sudarshan Bahukudumbi, Krishnendu Chakrabarty Test-Pattern Ordering for Wafer-Level Test-During-Burn-In. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wafer-level, pattern ordering, burn-in
1Vishwanath Natarajan, Rajarajan Senguttuvan, Shreyas Sen, Abhijit Chatterjee ACT: Adaptive Calibration Test for Performance Enhancement and Increased Testability of Wireless RF Front-Ends. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ACT, loopback, loop-back, adaptive, testing, calibration, compensation
1Muhammad Mudassar Nisar, Abhijit Chatterjee Test Enabled Process Tuning for Adaptive Baseband OFDM Processor. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Adaptive Signal Scaling, Timing test, Low power, OFDM
1I-De Huang, Yi-Shing Chang, Sandeep K. Gupta 0001, Sreejit Chakravarty An Industrial Case Study of Sticky Path-Delay Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sticky paths, timing false paths, path reprioritization, delay testing, test quality
1Intaik Park, Donghwi Lee, Erik Chmelar, Edward J. McCluskey Inconsistent Fail due to Limited Tester Timing Accuracy. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inconsistent fail, tester timing accuracy, tester EPA, delay test, inconsistency
1Dongwoo Hong, Kwang-Ting (Tim) Cheng Bit-Error Rate Estimation for Bang-Bang Clock and Data Recovery Circuit in High-Speed Serial Links. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bang-Bang CDR, BER Estimation
1Sunghoon Chun, Taejin Kim, YongJoon Kim, Sungho Kang 0001 An Efficient Scan Chain Diagnosis Method Using a New Symbolic Simulation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan chain based test, Diagnosis, Symbolic Simulation
1Rajesh Tiwari, Abhijeet Shrivastava, Mahit Warhadpande, Srivaths Ravi 0001, Rubin A. Parekhji A Regression Based Technique for ATE-Aware Test Data Volume Estimation of System-on-Chips. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tester, ATPG, Estimation, ATE, Test Time, Test Data Volume
1Manoj Kumar Goparaju, Spyros Tragoudas A Novel ATPG Framework to Detect Weight Related Defects in Threshold Logic Gates. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Weght defects, ATPG, Threshold logic, Parametric faults
1Sounil Biswas, R. D. (Shawn) Blanton Test Compaction for Mixed-Signal Circuits Using Pass-Fail Test Data. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pass-fail test data, boolean minimization, minimum constrained subset cover, Mixed-signal test, test compaction
1Zheng Wang, D. M. H. Walker Dynamic Compaction for High Quality Delay Test. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic compaction, test generation, delay test, path delay fault
1François-Fabien Ferhani, Nirmal R. Saxena, Edward J. McCluskey, Phil Nigh How Many Test Patterns are Useless? Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test Patterns, Test Economics, Truncation
1Kyriakos Christou, Maria K. Michael, Paolo Bernardi, Michelangelo Grosso, Ernesto Sánchez 0001, Matteo Sonza Reorda A Novel SBST Generation Technique for Path-Delay Faults in Microprocessors Exploiting Gate- and RT-Level Descriptions. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SBST, path-delay faults, microprocessor test
1Carlos Arthur Lang Lisbôa, Costas Argyrides, Dhiraj K. Pradhan, Luigi Carro Algorithm Level Fault Tolerance: A Technique to Cope with Long Duration Transient Faults in Matrix Multiplication Algorithms. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF radiation effects, long transients, recomputation granularity, fault tolerance
1Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman Full Open Defects in Nanometric CMOS. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect open, gate leakage current, CMOS
1Byoungho Kim, Nash Khouzam, Jacob A. Abraham Efficient Loopback Test for Aperture Jitter in Embedded Mixed-Signal Circuits. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Loopback Test, Aperture Jitter, Digital-to-Analog Converter, Analog-to-Digital Converter, ADC, Mixed-Signal Testing, DAC
1Elif Alpaslan, Yu Huang 0005, Xijiang Lin, Wu-Tung Cheng, Jennifer Dworak Reducing Scan Shift Power at RTL. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test Power Reduction, Power-Sensitive Scan Cell, RTL DFT, Timing Closure, Scan Based Test
1Yao-Hsin Chou, Sy-Yen Kuo, I-Ming Tsai QBIST: Quantum Built-in Self-Test for any Boolean Circuit. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ming-Chien Tsai, Ching-Hwa Cheng, Chiou-Mao Yang An All-Digital High-Precision Built-In Delay Time Measurement Circuit. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Apurva Mishra, Mani Soma A Time-Domain Method for Pseudo-Spectral Characterization. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bist, analog test, mixed-signal test, fft
1Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu A General Failure Candidate Ranking Framework for Silicon Debug. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Silicon Debug
1Uranmandakh Amgalan, Christian Hachmann, Sybille Hellebrand, Hans-Joachim Wunderlich Signature Rollback - A Technique for Testing Robust Circuits. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Rollback and Recovery, Test Quality and Reliability, Robust Design, Time Redundancy, Embedded Test
1 26th IEEE VLSI Test Symposium (VTS 2008), April 27 - May 1, 2008, San Diego, California, USA Search on Bibsonomy VTS The full citation details ... 2008 DBLP  BibTeX  RDF
1Niladri Narayan Mojumder, Saibal Mukhopadhyay, Jae-Joon Kim, Ching-Te Chuang, Kaushik Roy 0001 Design and Analysis of a Self-Repairing SRAM with On-Chip Monitor and Compensation Circuitry. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design, yield, failure, SRAM, variation
1Stefan Spinner, Ilia Polian, Piet Engelke, Bernd Becker 0001, Martin Keim, Wu-Tung Cheng Automatic Test Pattern Generation for Interconnect Open Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnect opens, Open-via defects, ATPG
1Ritesh Garg, Richard Putman, Nur A. Touba Increasing Output Compaction in Presence of Unknowns Using an X-Canceling MISR with Deterministic Observation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF X-tolerant, Linear Compression, Gaussian Elimination, Response Compaction
1Jeremy Lee, Mohammad Tehranipoor LS-TDF: Low-Switching Transition Delay Fault Pattern Generation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Zhaoliang Pan, Melvin A. Breuer Basing Acceptable Error-Tolerant Performance on Significance-Based Error-rate (SBER). Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF error-significance, SBER, error-rate, error-tolerance
1Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin An SRAM Design-for-Diagnosis Solution Based on Write Driver Voltage Sensing. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF write driver, design-for-diagnosis, diagnosis, SRAM
1King Leong Lee, Nadir Z. Basturkmen, Srikanth Venkataraman Diagnosis of Scan Clock Failures. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan clock, diagnosis, scan chain
1Jaekwang Lee, Intaik Park, Edward J. McCluskey Error Sequence Analysis. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Rajamani Sethuram, Michael L. Bushnell, Vishwani D. Agrawal Fault Nodes in Implication Graph for Equivalence/Dominance Collapsing, and Identifying Untestable and Independent Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Diagnosis, ATPG, Fault Model, Fault Collapsing, Implication Graph
1Joon-Sung Yang, Nur A. Touba Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Trace Buffer Observation Window, Two-Dimensional (2-D) Compaction, Cycling Register, Silicon Debug, MISR
1Yu-Ze Wu, Mango Chia-Tso Chao Scan-Chain Reordering for Minimizing Scan-Shift Power Based on Non-Specified Test Cubes. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF signal transitions, correlation, reordering, scan-chain
1Tze Wee Chen, Kyunglok Kim, Young Moon Kim, Subhasish Mitra Gate-Oxide Early Life Failure Prediction. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Chaoming Zhang, Ranjit Gharpurey, Jacob A. Abraham Low Cost RF Receiver Parameter Measurement with On-Chip Amplitude Detectors. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Amplitude detector, RF detector, RF receiver, Built-in test, RF test
1Qingqi Dou, Jacob A. Abraham Low-cost Test of Timing Mismatch Among Time-Interleaved A/D Converters in High-speed Communication Systems. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Time-Interleaved ADC, Timing Mismatch, Mixed-signal testing, Low-cost test, High speed testing
1Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi A Metric for Assessing the Error Tolerance of Tile Sets for Punctured DNA Self-Assemblies. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Puncturing, Error Tolerance, DNA Self-Assembly
1Irith Pomeranz, Sudhakar M. Reddy Expanded Definition of Functional Operation Conditions and its Effects on the Computation of Functional Broadside Tests. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF functional broadside tests, test generation, transition faults, reachable states, full-scan circuits
1Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz On the Detectability of Scan Chain Internal Faults - An Industrial Case Study. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Faults in scan cells, stuck-at and stuck-on faults
1Michael Nicolaidis, Renaud Perez, Dan Alexandrescu Low-Cost Highly-Robust Hardened Cells Using Blocking Feedback Transistors. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF radiation hardened cells, soft errors, SEUs
1Minjin Zhang, Huawei Li 0001, Xiaowei Li 0001 Multiple Coupling Effects Oriented Path Delay Test Generation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk, delay test, path delay fault
1Irith Pomeranz, Sudhakar M. Reddy Synthesis for Broadside Testability of Transition Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF broadside tests, standard scan, transition faults, test synthesis, full-scan circuits
1Erdem Serkan Erdogan, Sule Ozev Single-Measurement Diagnostic Test Method for Parametric Faults of I/Q Modulating RF Transceivers. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RF Transceivers, I/Q modulation, I/Q mismatch, Time skew
1Ying Zhang 0040, Huawei Li 0001, Xiaowei Li 0001, Yu Hu 0001 Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Codeword Selection, Crosstalk Avoidance, Reliable Bus
1Gurgen Harutunyan, Valery A. Vardanian, Yervant Zorian An Efficient March-Based Three-Phase Fault Location and Full Diagnosis Algorithm for Realistic Two-Operation Dynamic Faults in Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF localization, diagnosis, detection, fault, march test
1Stelios Neophytou, Maria K. Michael On the Relaxation of n-detect Test Sets. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF N-detect, test set relaxation
1Chen-Wei Lin, Jiun-Lang Huang A Built-In TFT Array Charge-Sensing Technique for System-on-Panel Displays. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF TFT array, charge sensing, system-on-panel, built-in self-test, LTPS
1Mahmut Yilmaz, Krishnendu Chakrabarty, Mohammad Tehranipoor Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Small-delay defects, pattern grading, pattern selection, ATPG
1Emil Gizdarski Constructing Augmented Multimode Compactors. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF on-chip compression, array codes, linear codes, test data compression
1James Dardig, Haralampos-G. D. Stratigopoulos, Eric Stern, Mark A. Reed, Yiorgos Makris A Statistical Approach to Characterizing and Testing Functionalized Nanowires. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, statistical analysis, nanowires
1Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram Supply Voltage Noise Aware ATPG for Transition Delay Faults. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 2033 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license