The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for designs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958 (39) 1959-1969 (19) 1970-1972 (28) 1973-1974 (31) 1975 (15) 1976 (29) 1977 (22) 1978 (25) 1979 (17) 1980 (29) 1981 (28) 1982 (29) 1983 (25) 1984 (36) 1985 (54) 1986 (68) 1987 (61) 1988 (93) 1989 (112) 1990 (132) 1991 (121) 1992 (130) 1993 (147) 1994 (176) 1995 (249) 1996 (247) 1997 (288) 1998 (357) 1999 (473) 2000 (522) 2001 (529) 2002 (678) 2003 (888) 2004 (1083) 2005 (1168) 2006 (1308) 2007 (1462) 2008 (1477) 2009 (957) 2010 (494) 2011 (326) 2012 (366) 2013 (398) 2014 (396) 2015 (355) 2016 (370) 2017 (420) 2018 (378) 2019 (427) 2020 (455) 2021 (466) 2022 (457) 2023 (468) 2024 (120)
Publication types (Num. hits)
article(7465) book(17) incollection(131) inproceedings(11238) phdthesis(193) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12478 occurrences of 5080 keywords

Results
Found 19048 publication records. Showing 19048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Xiaoyuan Suo, Ying Zhu 0001, G. Scott Owen Measuring the Complexity of Computer Security Visualization Designs. Search on Bibsonomy VizSEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Luis A. Castillo, Lluvia Morales, Arturo González-Ferrer, Juan Fernández-Olivares, Óscar García-Pérez Knowledge Engineering and Planning for the Automated Synthesis of Customized Learning Designs. Search on Bibsonomy CAEPIA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ying Rao Wei, M. Z. Wang Performance Analysis of Full-rate STBCs from Coordinate Interleaved Orthogonal Designs. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Juan Manuel Dodero, Colin Tattersall, Daniel Burgos, Rob Koper Transformational Techniques for Model-Driven Authoring of Learning Designs. Search on Bibsonomy ICWL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF learning design patterns, unit of learning, Model-driven development, IMS Learning Design
11Christian Dehlendorff, Murat Kulahci, Klaus K. Andersen Combining Latin hypercube designs and discrete event simulation in a study of a surgical unit. Search on Bibsonomy WSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ann E. Kelley Sobel, Sherrie Campbell Supporting the Formal Analysis of Software Designs. Search on Bibsonomy CSEE&T The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Bing Zhao, Weidong Li, Shu Jian, Lequan Min Two Theorems on the Robust Designs for Pattern Matching CNNs. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Yong Zhan, Tianpei Zhang, Sachin S. Sapatnekar Module assignment for pin-limited designs under the stacked-Vdd paradigm. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Steve J. Westerman, E. J. Sutherland, L. Robinson, H. Powell, Gareth C. Tuck A Multi-method Approach to the Assessment of Web Page Designs. Search on Bibsonomy ACII The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Juan M. Cebrian, Juan L. Aragón, José M. García 0001, Stefanos Kaxiras Adaptive VP decay: making value predictors leakage-efficient designs for high performance processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage, value prediction, energy efficient architectures, cache decay
11Martin Kuhlemann, Sven Apel, Thomas Leich Streamlining Feature-Oriented Designs. Search on Bibsonomy SC@ETAPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Esther Guerra, Daniel Sanz, Paloma Díaz 0001, Ignacio Aedo A Transformation-Driven Approach to the Verification of Security Policies in Web Designs. Search on Bibsonomy ICWE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Shih-Hsu Huang, Chu-Liao Wang, Man-Lin Huang A Floorplan-Based Power Network Analysis Methodology for System-on-Chip Designs. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reused Block, Modeling, Power Consumption, Voltage Drop
11Kaijian Shi, Zhian Lin, Yi-Min Jiang A Power Network Synthesis Method for Industrial Power Gating Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Yici Cai, Bin Liu 0007, Jin Shi, Qiang Zhou 0001, Xianlong Hong Power Delivery Aware Floorplanning for Voltage Island Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11David Zaretsky, Gaurav Mittal, Robert P. Dick, Prith Banerjee Balanced Scheduling and Operation Chaining in High-Level Synthesis for FPGA Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Frederic Worm, Patrick Thiran, Paolo Ienne Optimizing Checking-Logic for Reliability-Agnostic Control of Self-Calibrating Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Marcus Seifert, Klaus-Dieter Thoben Maintaining Dynamic Product Designs To Enable Effective Consortium Building In Virtual Breeding Envionments. Search on Bibsonomy Virtual Enterprises and Collaborative Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Andris Ambainis, Joseph Emerson Quantum t-designs: t-wise Independence in the Quantum World. Search on Bibsonomy CCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Simon Miles, Paul Groth, Steve Munroe, Michael Luck, Luc Moreau 0001 AgentPrIMe: Adapting MAS Designs to Build Confidence. Search on Bibsonomy AOSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Tien Nguyen EmVC: Managing Changes and Configurations in Designs of Complex, Embedded Computing Systems. Search on Bibsonomy ICECCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Hiren D. Patel, Sandeep K. Shukla Model-driven Validation of SystemC Designs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Peter Sawyer, Ricardo Gacitúa, Andrew Stone Profiling and Tracing Stakeholder Needs. Search on Bibsonomy Monterey Workshop The full citation details ... 2007 DBLP  DOI  BibTeX  RDF information retrieval, natural language processing, Requirements engineering, tacit knowledge
11Djamel Bouchaffra, Jun Tan Structural Hidden Markov Models Using a Relation of Equivalence: Application to Automotive Designs. Search on Bibsonomy Data Min. Knowl. Discov. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF relation of equivalence, statistical decoding, structural decoding, Hidden Markov models, local structures
11Yu-Shen Yang, Andreas G. Veneris, Paul J. Thadikaran, Srikanth Venkataraman Extraction error modeling and automated model debugging in high-performance custom designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Masaaki Harada Self-Orthogonal 3-(56, 12, 65) Designs and Extremal Doubly-Even Self-Dual Codes of Length 56. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self-orthogonal design, extremal doubly-even self-dual code
11Carl Bracken New classes of self-complementary codes and quasi-symmetric designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AMS Classification 05E20
11Jaime Jimenez, José Luis Martín 0001, Aitzol Zuloaga, Unai Bidarte, Jagoba Arias Comparison of two designs for the multifunction vehicle bus. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Behzad Akbarpour, Sofiène Tahar An approach for the formal verification of DSP designs using Theorem proving. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Seongmoon Wang, Srimat T. Chakradhar A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Wu-An Kuo, TingTing Hwang, Allen C.-H. Wu Decomposition of instruction decoders for low-power designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction decoder, Low power
11Thomas Brandtner Chip-Package Codesign Flow for Mixed-Signal SiP Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mixed-Signal System-in-Package design, SiP
11Carolyn B. Seaman Combining Study Designs and Techniques Working Group Results. Search on Bibsonomy Empirical Software Engineering Issues The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Marc Herbstritt, Bernd Becker 0001, Christoph Scholl 0001 Advanced SAT-Techniques for Bounded Model Checking of Blackbox Designs. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Nagarajan Ranganathan, Ravi Namballa, Narender Hanchate CHESS: A Comprehensive Tool for CDFG Extraction and Synthesis of Low Power Designs from VHDL. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Edward Clarkson, James D. Foley Browsing affordance designs for the human-centered computing education digital library. Search on Bibsonomy JCDL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ScentTrails, education, digital libraries, browsing, treemap
11Daniel Karlsson, Petru Eles, Zebo Peng Formal verification of systemc designs using a petri-net based representation. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Roberto Cordone, Fabrizio Ferrandi, Marco D. Santambrogio, Gianluca Palermo, Donatella Sciuto Using speculative computation and parallelizing techniques to improve scheduling of control based designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Bin Liu 0007, Yici Cai, Qiang Zhou 0001, Xianlong Hong Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Shunitsu Kohara, Naoki Tomono, Jumpei Uchida, Yuichiro Miyaoka, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki An interface-circuit synthesis method with configurable processor core in IP-based SoC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace 2.0: an efficient analytical placer for mixed-mode designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Moshe Deutsch, Martin C. Henson A Relational Investigation of UTP Designs and Prescriptions. Search on Bibsonomy UTP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Simon McNicol, Serdar Boztas, Asha Rao Traitor Tracing Against Powerful Attacks Using Combinatorial Designs. Search on Bibsonomy AAECC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Lan Lan 0005, Ying Yu Tai, Shu Lin 0001, Behshad Memari, Bahram Honary New Constructions of Quasi-cyclic LDPC Codes Based on Two Classes of Balanced Incomplete Block Designs: For AWGN and Binary Erasure Channels. Search on Bibsonomy AAECC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mikhail Perepletchikov, Caspar Ryan, Keith Frampton Towards the Definition and Validation of Coupling Metrics for Predicting Maintainability in Service-Oriented Designs. Search on Bibsonomy OTM Workshops (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Valeria Bertacco Formal verification for real-world designs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jack P. C. Kleijnen White noise assumptions revisited: regression metamodels and experimental designs in practice. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sami J. Habib A Monte Carlo simulator for evaluating server placement within network topology designs. Search on Bibsonomy VALUETOOLS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, optimization, computer-aided design, Monte Carlo, queuing theory, evolutionary approach, formulation
11Adriana J. Berlanga, Francisco J. García-Peñalvo, Jorge Carabias Authoring Adaptive Learning Designs Using IMS LD. Search on Bibsonomy AH The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Andreas Harrer An Approach to Organize Re-usability of Learning Designs and Collaboration Scripts of Various Granularities. Search on Bibsonomy ICALT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Peter Fröhlich 0003, Rainer Simon, Lynne Baillie, Hermann Anegg Comparing conceptual designs for mobile access to geo-spatial information. Search on Bibsonomy Mobile HCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mobile computing, augmented reality, location based services, context aware computing, user interaction, orientation
11Sébastien Lahaie An analysis of alternative slot auction designs for sponsored search. Search on Bibsonomy EC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF rank by bid, rank by revenue, slot allocation, search engines, sponsored search, auction theory
11Emma Eliason, Jonas Lundberg The appropriateness of Swedish municipality web site designs. Search on Bibsonomy NordiCHI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inherited values, web site design, municipality, genre analysis
11Chia-Tsun Wu, Wei Wang 0252, I-Chyn Wey, An-Yeu Wu A frequency estimation algorithm for ADPLL designs with two-cycle lock-in time. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jinping Wang, Shengjuan Huang, Xiqin He Observer-Based Hinfinity Controller Designs for T-S Fuzzy Systems. Search on Bibsonomy FSKD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Fengming Zhang, Warren Necoechea, Peter Reiter, Yong-Bin Kim, Fabrizio Lombardi Load Board Designs Using Compound Dot Technique and Phase Detector for Hierarchical ATE Calibrations. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Michael Santarini, Pallab K. Chatterjee Session EP1: Power Management and Optimization Challenges for Sub 90nm CMOS Designs- What is the Real Cost of Long Battery Life?. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Xiongfei Meng, Resve A. Saleh, Karim Arabi Novel Decoupling Capacitor Designs for sub- 90nm CMOS Technology. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Suriyaprakash Natarajan, Srinivas Patil, Sreejit Chakravarty Path Delay Fault Simulation on Large Industrial Designs. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11G. Girishankar, Shitanshu Tiwari Generating Scalable Polynomial Models: Key to Low Power High Performance Designs. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Orest Pilskalns, Gunay Uyan, Anneliese Amschler Andrews Regression Testing UML Designs. Search on Bibsonomy ICSM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical performance analysis, SRAM, yield prediction
11Andrew B. Kahng CAD challenges for leading-edge multimedia designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jaekwon Kim, Robert W. Heath Jr., Edward J. Powers Receiver designs for Alamouti coded OFDM systems in fast fading channels. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Rob Roy, Debashis Bhattacharya, Vamsi Boppana Transistor-Level Optimization of Digital Designs with Flex Cells. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ASIC design methodology, integrated circuit design, power optimization, design and test, high-performance design
11Yury J. Ionin, Hadi Kharaghani A Recursive Construction for New Symmetric Designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF regular Hadamard matrix, balanced generalized weighing matrix, symmetric design
11Rajendra M. Pawale Non Existence of Triangle Free Quasi-symmetric Designs. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AMS Classification: Primary 05 B05, Secondary 05 B30, 05 B25
11Yuanyuan Yang 0001, Jianchao Wang Cost-Effective Designs of WDM Optical Interconnects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multicast, permutation, network architectures, optical interconnects, Wavelength-division-multiplexing (WDM), optical switches, wavelength conversion, multistage networks, sparse crossbars
11Kejie Lu, Shengli Fu, Xiang-Gen Xia 0001 Closed-form designs of complex orthogonal space-time block codes of rates (k+1)/(2k) for 2k-1 or 2k transmit antennas. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Hiyam Al-Kilidar, Peter Parkin, Aybüke Aurum, D. Ross Jeffery Evaluation of Effects of Pair Work on Quality of Designs. Search on Bibsonomy Australian Software Engineering Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Miroslaw Staron, Ludwik Kuzniarz Properties of Stereotypes from the Perspective of Their Role in Designs. Search on Bibsonomy MoDELS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Kelly Nasi, Martin Danek, Theodoros Karoubalis, Zdenek Pohl Figaro: an automatic tool flow for designs with dynamic reconfiguration (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Roman Bartosinski, Martin Danek, Petr Honzík, Rudolf Matousek Dynamic reconfiguration in FPGA-based SoC designs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin DVS for On-Chip Bus Designs Based on Timing Error Correction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Tom Gilb Design Evaluation: Estimating Multiple Critical Performance and Cost Impacts of Designs. Search on Bibsonomy SAFECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Hyoung-iel Park, Sungwon Kang, Yoonsuk Choi, Danhyung Lee Developing Object Oriented Designs from Component and Connector Architectures. Search on Bibsonomy APSEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ho Fai Ko, Qiang Xu 0001, Nicola Nicolici Register-transfer level functional scan for hierarchical designs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Subramanian K. Iyer, Jawahar Jain, Debashis Sahoo, Takeshi Shimizu Verification of Industrial Designs Using A Computing Grid With More than 100 Nodes. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki, Shinji Kimura Low Power Test Compression Technique for Designs with Multiple Scan Chain. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ali Habibi, Sofiène Tahar An Approach for the Verification of SystemC Designs Using AsmL. Search on Bibsonomy ATVA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Nick Savoiu MTP: A Petri Net-Based Framework for the Analysis and Transformation of SystemC Designs. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Tung-Chieh Chen, Tien-Chang Hsu, Zhe-Wei Jiang, Yao-Wen Chang NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mincut, ratio cut, placement
11Shao-Ming Yu, Yiming Li 0005 A Pattern-Based Domain Partition Approach to Parallel Optical Proximity Correction in VLSI Designs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11James E. Stine, Johannes Grad, Ivan D. Castellanos, Jeff M. Blank, Vibhuti B. Dave, Mallika Prakash, Nick Iliev, Nathan Jachimiec A Framework for High-Level Synthesis of System-on-Chip Designs. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Olivera Marjanovic Modeling of Process-Oriented Learning Designs. Search on Bibsonomy ICALT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Luke Demoracski, Dimiter R. Avresky An Approach for Functional Decomposition Applied to State-Based Designs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Benjamin P.-C. Yen, Paul Jen-Hwa Hu, May D. Wang Towards Effective Web Site Designs: A Framework for Modeling, Design Evaluation and Enhancement. Search on Bibsonomy EEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11José Miguel Valiente, Francisco Albert, José María Gomis A Computational Model for Pattern and Tile Designs Classification Using Plane Symmetry Groups. Search on Bibsonomy CIARP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Daniel Große, Rolf Drechsler CheckSyC: an efficient property checker for RTL SystemC designs. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Tai-Ying Jiang, Chien-Nan Jimmy Liu, Jing-Yang Jou Estimating likelihood of correctness for error candidates to assist debugging faulty HDL designs. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Abhishek Mitra, Marcello Lajolo, Kanishka Lahiri SOFTENIT: a methodology for boosting the software content of system-on-chip designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, partitioning, RTOS, HW/SW codesign
11Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz On Generating Pseudo-Functional Delay Fault Tests for Scan Designs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11John R. Koza, Sameer H. Al-Sakran, Lee W. Jones Cross-Domain Features of Runs of Genetic Programming Used to Evolve Designs for Analog Circuits, Optical Lens Systems, Controllers, Antennas, Mechanical Systems, and Quantum Computing Circuits. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Suvodeep Gupta, Srinivas Katkoori, Hariharan Sankaran Floorplan-Based Crosstalk Estimation for Macrocell-Based Designs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Mei Yang, Yingtao Jiang, Tao Li, Yulu Yang Fault-Tolerant Routing Schemes in RDT(2, 2, 1)/a-Based Interconnection Network for Networks-on-Chip Designs. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Russell Klein, Tomasz Piekarz Accelerating Functional Simulation for Processor Based Designs, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Marvin Tom, Guy G. Lemieux Logic block clustering of large designs for channel-width constrained FPGAs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF channel width constraints, clustering, field-programmable gate arrays (FPGA), packing
11Defeng Huang, Khaled Ben Letaief, Jianhua Lu A receive space diversity architecture for OFDM systems using orthogonal designs. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand Interpretation of rent's rule for ultralarge-scale integrated circuit designs, with an application to wirelength distribution models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Joonseok Park, Pedro C. Diniz, K. R. Shesha Shayee Performance and Area Modeling of Complete FPGA Designs in the Presence of Loop Transformations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance analysis and modeling, loop transformations and high-level synthesis, Field-Programmable-Gate-Arrays (FPGAs), configurable computing
Displaying result #801 - #900 of 19048 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license