The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFT"( http://dblp.L3S.de/Venues/DFT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
1993 (44) 1994 (34) 1995 (35) 1996 (40) 1997 (37) 1998 (42) 1999 (46) 2000 (45) 2001 (56) 2002 (46) 2003 (72) 2004 (57) 2005 (67) 2006 (64) 2007 (57) 2008 (64) 2009 (57) 2010 (55) 2011 (57) 2012 (42) 2014 (51) 2016 (32) 2017 (40) 2018 (24) 2019 (34) 2020 (36) 2021 (37) 2022 (31) 2023 (47)
Publication types (Num. hits)
inproceedings(1320) proceedings(29)
Venues (Conferences, Journals, ...)
DFT(1349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 272 keywords

Results
Found 1349 publication records. Showing 1349 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Toshinori Sato Exploiting Instruction Redundancy for Transient Fault Tolerance. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Dan Zhao 0001, Shambhu J. Upadhyaya, Martin Margala Control Constrained Resource Partitioning for Complex SoCs. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Hamidreza Hashempour, Fabrizio Lombardi ATE-Amenable Test Data Compression with No Cyclic Scan. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Xiaopeng Wang, Marco Ottavi, Fabrizio Lombardi Yield Analysis of Compiler-Based Arrays of Embedded SRAMs. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Haruhiko Kaneko, Eiji Fujiwara Array Codes Correcting a Cluster of Unidirectional Errors for Two-Dimensional Matrix Symbols. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Noh-Jin Park, Byoungjae Jin, K. M. George, Nohpill Park, Minsu Choi Regressive Testing for System-on-Chip with Unknown-Good-Yield. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Olga Goloubeva, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante Soft-Error Detection Using Control Flow Assertions. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Yukiya Miura, Daisuke Kato Analysis and Testing of Analog and Mixed-Signal Circuits by an Operation-Region Model: A Case Study of Application and Implementation. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Xiaoling Sun, Bruce F. Cockburn, Duncan G. Elliott An Efficient Functional Test for the Massively-Parallel C ?RAM Logic-Enhanced Memory Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Sagar S. Sabade, D. M. H. Walker CROWNE: Current Ratio Outliers with Neighbor Estimator. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Bogdan Nicolescu, Yvon Savaria, Raoul Velazco SIED: Software Implemented Error Detection. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Shervin Sharifi, Mohammad Hosseinabady, Pedram A. Riahi, Zainalabedin Navabi Reducing Test Power, Time and Data Volume in SoC Testing Using Selective Trigger Scan Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Charles F. Hawkins, Ali Keshavarzi, Jaume Segura 0001 A View from the Bottom: Nanometer Technology AC Parametric Failures -- Why, Where, and How to Detect. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Yung-Yuan Chen, Shi-Jinn Horng, Hung-Chuan Lai An Integrated Fault-Tolerant Design Framework for VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Sobeeh Almukhaizim, Yiorgos Makris Fault Tolerant Design of Combinational and Sequential Logic Based on a Parity Check Code. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Bogdan Nicolescu, Paul Peronnard, Raoul Velazco, Yvon Savaria Efficiency of Transient Bit-Flips Detection by Software Means: A Complete Study. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Kranthi K. Pinjala, Bruce C. Kim An Approach for Selection of Test Points for Analog Fault Diagnosis. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Vinu Vijay Kumar, John C. Lach Heterogeneous Redundancy for Fault and Defect Tolerance with Complexity Independent Area Overhead. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Hamid R. Zarandi, Seyed Ghassem Miremadi, Ali Reza Ejlali Dependability Analysis Using a Fault Injection Tool Based on Synthesizability of HDL Models. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Xiao Liu 0010, Michael S. Hsiao Constrained ATPG for Broadside Transition Testing. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Tianxu Zhao, Xuchao Duan, Yue Hao, Peijun Ma Reliability Estimation Model of ICs Interconnect Based on Uniform Distribution of Defects on a Chip. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection lifetime, electromigration effect, defect
1Yassine Hariri, Claude Thibeault 3DSDM: A 3 Data-Source Diagnostic Method. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Sandeep Bhatia Test Compaction by Using Linear-Matrix Driven Scan Chains. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1C. V. Krishna, Nur A. Touba Hybrid BIST Using an Incrementally Guided LFSR. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Fabrizio Lombardi, Nohpill Park Testing Layered Interconnection Networks. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault Tolerance, Interconnection Networks, Fault Detection, Network Flow, Switch
1Cecilia Metra, Stefano Di Francescantonio, Giuseppe Marrale On-Line Testing of Transient Faults Affecting Functional Blocks of FCMOS, Domino and FPGA-Implemented Self-Checking Circuits. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Viera Stopjaková, Daniel Micusík, Lubica Benusková, Martin Margala Neural Networks-Based Parametric Testing of Analog IC. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ozgur Sinanoglu, Alex Orailoglu Fast and Energy-Frugal Deterministic Test Through Test Vector Correlation Exploitation. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Stanislaw J. Piestrak Feasibility Study of Designing TSC Sequential Circuits with 100% Fault Coverage. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ahmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey Testing Digital Circuits with Constraints. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Fabio Salice, Mariagiovanna Sami, Renato Stefanelli Fault-Tolerant CAM Architectures: A Design Framework. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1A. Castelnuovo, Alessandro Fin, Franco Fummi, F. Sforza Emulation-Based Design Errors Identification. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Witold A. Pleskacz, Tomasz Borejko, Wieslaw Kuzmicz CMOS Standard Cells Characterization for IDDQ Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Kedarnath J. Balakrishnan, Nur A. Touba Matrix-Based Test Vector Decompression Using an Embedded Processor. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jimson Mathew, Elena Dubrova Self-Checking 1-out-of-n CMOS Current-Mode Checker. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jennifer Dworak, James Wingfield, Brad Cobb, Sooryong Lee, Li-C. Wang, M. Ray Mercer Fortuitous Detection and its Impact on Test Set Sizes Using Stuck-at and Transition Faults. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Pedram Khademsameni, Marek Syrzycki Manufacturability Analysis of Analog CMOS ICs through Examination of Multiple Layout Solutions. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Francisco Rodríguez 0003, José Carlos Campelo, Juan José Serrano A Memory Overhead valuation of the Interleaved Signature Instruction Stream. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Horng-Bin Wang, Shi-Yu Huang, Jing-Reng Huang Gate-Delay Fault Diagnosis Using the Inject-and-Evaluate Paradigm. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Farzin Karimi, Waleed Meleis, Zainalabedin Navabi, Fabrizio Lombardi Data Compression for System-on-Chip Testing Using ATE. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ching-Hwa Cheng Adaptable Voltage Scan Testing of Charge-Sharing Faults for Domino Circuits. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Thomas Verdel, Yiorgos Makris Duplication-Based Concurrent Error Detection in Asynchronous Circuits: Shortcomings and Remedies. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Self-Checking and Fault Tolerance Quality Assessment Using Fault Sampling. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Sagar S. Sabade, D. M. H. Walker Neighbor Current Ratio (NCR): A New Metric for IDDQ Data Analysis. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Raoul Velazco, A. Corominas, Pablo A. Ferreyra Injecting Bit Flip Faults by Means of a Purely Software Approach: A Case Studied. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Sara Blanc, Joaquin Gracia, Pedro J. Gil A Fault Hypothesis Study on the TTP/C Using VHDL-Based and Pin-Level Fault Injection Techniques. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Hamidreza Hashempour, Yong-Bin Kim, Nohpill Park A Test-Vector Generation Methodology for Crosstalk Noise Faults. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Arman Vassighi, Oleg Semenov, Manoj Sachdev, Ali Keshavarzi Effect of Static Power Dissipation in Burn-In Environment on Yield of VLSI. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante A New Functional Fault Model for FPGA Application-Oriented Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan Architecture for Shift and Capture Cycle Power Reduction. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Bogdan M. Maziarz, Vijay K. Jain Yield Estimates for the TESH Multicomputer Network. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Dan Alexandrescu, Lorena Anghel, Michael Nicolaidis New Methods for Evaluating the Impact of Single Event Transients in VDSM ICs. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Yuichi Hamamura, Kazunori Nemoto, Takaaki Kumazawa, Hisafumi Iwata, Kousuke Okuyama, Shiro Kamohara, Aritoshi Sugimoto Repair Yield Simulation with Iterative Critical Area Analysis for Different Types of Failure. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Lörinc Antoni, Régis Leveugle, Béla Fehér Using Run-Time Reconfiguration for Fault Injection in Hardware Prototypes. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Tian Xia, Jien-Chung Lo On-Chip Jitter Measurement for Phase Locked Loops. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 6-8 November 2002, Vancouver, BC, Canada, Proceedings Search on Bibsonomy DFT The full citation details ... 2002 DBLP  BibTeX  RDF
1Kartik Mohanram, Nur A. Touba Input Ordering in Concurrent Checkers to Reduce Power Consumption. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Pierluigi Civera, Luca Macchiarulo, Massimo Violante A Simplified Gate-Level Fault Model for Crosstalk Effects Analysis. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Xiaoling Sun, A. Alimohammad, Pieter M. Trouborst Modeling of FPGA Local/Global Interconnect Resources and Derivation of Minimal Test Configurations. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF global/local interconnect testing, modeling, graph coloring, greedy algorithms, FPGA testing
1Guido Bertoni, Luca Breveglieri, Israel Koren, Paolo Maistri, Vincenzo Piuri A Parity Code Based Fault Detection for an Implementation of the Advanced Encryption Standard. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Daniel Marienfeld, Vitalij Ocheretnij, Michael Gössel, Egor S. Sogomonyan Partially Duplicated Code-Disjoint Carry-Skip Adder. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Y. Chang, Minsu Choi, Nohpill Park, Fabrizio Lombardi Repairability Evaluation of Embedded Multiple Region DRAMs. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Bing Qiu 0003, Yvon Savaria, Meng Lu, Chunyan Wang 0004, Claude Thibeault Yield Modeling of a WSI Telecom Router Architecture. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Dan Zhao 0001, Shambhu J. Upadhyaya Adaptive Test Scheduling in SoC's by Dynamic Partitioning. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Susumu Horiguchi, Yasuyuki Miura Performance of Deadlock-Free Adaptive Routing for Hierarchical Interconnection Network TESH. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Matteo Sonza Reorda, Massimo Violante Fault List Compaction through Static Timing Analysis for Efficient Fault Injection Experiments. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ranganathan Sankaralingam, Nur A. Touba Inserting Test Points to Control Peak Power During Scan Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Fabio Salice, Donatella Sciuto Designing Self-Checking FPGAs through Error Detection Codes. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Minsu Choi, Nohpill Park, Fabrizio Lombardi, Yong-Bin Kim, Vincenzo Piuri Balanced Redundancy Utilization in Embedded Memory Cores for Dependable Systems. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Pradeep Nagaraj, Shambhu Upadhaya, Kamran Zarrineh, R. Dean Adams Defect Analysis and a New Fault Model for Multi-port SRAMs. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dual-port, SDDRF, electrical fault model, SRAM, defect analysis, multi-port
1Wei-Je Huang, Subhasish Mitra, Edward J. McCluskey Fast Run-Time Fault Location in Dependable FPGA-Based Applications. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Run-time fault location, Field-Programmable Gate Array (FPGA), concurrent error detection, on-line testing
1Joaquin Gracia, Juan Carlos Baraza, Daniel Gil, Pedro J. Gil Comparison and Application of Different VHDL-Based Fault Injection Techniques. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF VHDL-Based Fault Injection, Fault Tolerant Validation
1Xiangdong Xuan, Abhijit Chatterjee Sensitivity and Reliability Evaluation for Mixed-Signal ICs under Electromigration and Hot-Carrier Effects. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hot-carrier, hierarchical analysis, hot-spot, performance degradation, Electromigration
1Régis Leveugle A Low-Cost Hardware Approach to Dependability Validation of Ips. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF VHDL, emulation, fault injection, dependability analysis
1S. K. Tewksbury Challenges Facing Practical DFT for MEMS. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Microelectromechanical systems, microsystems technologies, fault tolerance, defect tolerance
1Mykola Blyzniuk, Irena Kazymyra Development of the Special Software Tools for the Defect/Fault Analysis in the Complex Gates from Standard Cell Library. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Test Vector Components, Software Tool, VLSI Circuit, Spot Defect, Fault Identification, Complex Gate
1John Marty Emmert, Jason A. Cheatham On-Line Incremental Routing for Interconnect Fault Tolerance in FPGAs Minus the Router . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Hiroyuki Yotsuyanagi, Masaki Hashizume, Taisuke Iwakiri, Masahiro Ichimiya, Takeomi Tamesada Test Pattern for Supply Current Test of Open Defects by Applying Time-Variable Electric Field. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF supply current test, time-variable electric field, test pattern generation, CMOS IC, open defects
1Serge Bernard, Florence Azaïs, Yves Bertrand, Michel Renovell Analog BIST Generator for ADC Testing. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Fabio Salice A Software Methodology for Detecting Hardware Faults in VLIW Data Paths. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Software code scheduling, VLIW processors, Hardware fault detection
1Cecilia Metra, Stefano Di Francescantonio, Bruno Riccò, T. M. Mak Evaluation of Clock Distribution Networks' Most Likely Faults and Produced Effects. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF clock faults, testing, microprocessor, Clock distribution network
1Nobuo Tsuda ABL-Tree: A Constant Diameter Interconnection Network for Reconfigurable Processor Arrays Capable of Distributed Communication . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF generalized ABL, fault tolerance, interconnection network, reconfiguration, tree, mesh, ring, PC cluster, parallel and distributed processing
1Thomas S. Barnett, Adit D. Singh, Victor P. Nelson Yield-Reliability Modeling for Fault Tolerant Integrated Circuits. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF infant mortality, negative binomial distribution, clustering, reliability, redundancy, yield, defects, defect tolerance, burn-in
1Parag K. Lala, Alvernon Walker On-Line Error Detectable Carry-Free Adder Design. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF carry-free adder, signed binary digits, 1-out-of-3 code, on-line error detection
1Monica Alderighi, Fabio Casini, Sergio D'Angelo, Davide Salvi, Giacomo R. Sechi A Fault-Tolerance Strategy for an FPGA-Based Multi-stage Interconnection Network in a Multi-sensor System for Space Application. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Xiaowei Li 0001, Huawei Li 0001, Yinghua Min Reducing Power Dissipation during At-Speed Test Application. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Test-pair Ordering, Power Dissipation, At-speed Test
1Shugang Wei, Kensuke Shimizu Error Detection of Arithmetic Circuits Using a Residue Checker with Signed-Digit Number System. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF residue addition, residue multiplication, signed-digit(SD) number representation, SD adder, error detection, residue number system(RNS)
1Yves Audet, Glenn H. Chapman Design of a Self-Correcting Active Pixel Sensor. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF defect tolerance, digital cameras, Active Pixel Sensors
1Eleftherios Kolonis, Michael Nicolaidis Fail-Safe Synchronization Circuit for Duplicated Systems. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fail-safe systems, fail-safe synchronization, duplicated systems, actuator control
1Shu-Yi Yu, Edward J. McCluskey Permanent Fault Repair for FPGAs with Limited Redundant Area. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Permanent Fault Repair, Adaptive Computing System, Reconfigurable Computing System, Fault Tolerance, FPGA, Recovery
1Marco Ottavi, Gian Carlo Cardarilli, D. Cellitti, Salvatore Pontarelli, Marco Re, Adelio Salsano Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF SSMM, fault tolerance, finite state machine, VHDL, signature analysis, self checking
1Hans A. R. Manhaeve, Stefaan Kerckenaere An On-Chip Detection Circuit for the Verification of IC Supply Connections. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IC connections, connection verification, supply current measurements, on-chip monitor, reliability, DFT, CMOS, Scan, Boundary Scan, IP core, Current monitor
1Kazuteru Namba, Eiji Fujiwara Unequal Error Protection Codes with Two-Level Burst and Bit Error Correcting Capabilities. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF error correcting-detecting code, unequal error protection code, burst error
1Jayabrata Ghosh-Dastidar, Nur A. Touba Improving Diagnostic Resolution of Delay Faults in FPGAs by Exploiting Reconfigurability. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 24-26 October 2001, San Francisco, CA, USA, Proceedings Search on Bibsonomy DFT The full citation details ... 2001 DBLP  BibTeX  RDF
1Andreas Steininger, Christoph Scherrer How to Tune the MTTF of a Fail-Silent System. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Fail-Silent Embedded System, Markov Modeling, Sensitivity Analysis, Mean Time To Failure
1Paul Lee, Alfred Chen, Dilip Mathew A Speed-Dependent Approach for Delta IDDQ Implementation. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Self-scaling IDDQ, Speed Performance Index, characterization, Delta IDDQ
1Amir Kazéminéjad, Eric Belhaire Fast, Minimal Decoding Complexity, System Level, Binary Systematic (41, 32) Single-Error-Correcting Codes for On-Chip DRAM Applications. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Extended Hamming codes, Error correcting codes, Hamming codes
1Stanislaw J. Piestrak, Abbas Dandache, Fabrice Monteiro Design of Fault-Secure Encoders for a Class of Systematic Error Correcting Codes. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1349 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license