The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGA with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (21) 1993 (21) 1994 (76) 1995 (112) 1996 (116) 1997 (123) 1998 (227) 1999 (271) 2000 (307) 2001 (295) 2002 (365) 2003 (551) 2004 (702) 2005 (754) 2006 (1038) 2007 (989) 2008 (1061) 2009 (854) 2010 (720) 2011 (744) 2012 (743) 2013 (885) 2014 (852) 2015 (885) 2016 (898) 2017 (1035) 2018 (1028) 2019 (1243) 2020 (1074) 2021 (1141) 2022 (1044) 2023 (1081) 2024 (230)
Publication types (Num. hits)
article(5776) book(12) data(5) incollection(50) inproceedings(15376) phdthesis(235) proceedings(32)
Venues (Conferences, Journals, ...)
FPGA(1618) FPL(1411) FCCM(710) CoRR(617) FPT(537) ISCAS(393) Microprocess. Microsystems(365) ReConFig(346) IEEE Access(266) IEEE Trans. Very Large Scale I...(261) DATE(256) DSD(247) ARC(232) IEEE Trans. Comput. Aided Des....(200) IPDPS(198) DAC(197) More (+10 of total 2083)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6285 occurrences of 1975 keywords

Results
Found 21486 publication records. Showing 21486 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Ian Kuon, Navid Azizi, Ahmad Darabiha, Aaron Egier, Paul Chow FPGA-based supercomputing: an implementation for molecular dynamics. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Sanat Kamal Bahl, Jim Plusquellic FPGA implementation of a fast Hadamard transformer for WCDMA. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Hossam A. ElGindy, George Ferizis On hiding latency in reconfigurable systems: the case of merge-sort for an FPGA-based system. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Gang Chen 0020, Jason Cong Simultaneous logic decomposition with technology mapping in FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Andrés D. García, Jean-Luc Danger, Wayne P. Burleson Low power digital design in FPGAs (poster abstract): a study of pipeline architectures implemented in a FPGA using a low supply voltage to reduce power consumption. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26F. S. Ogrenci, Aggelos K. Katsaggelos, Majid Sarrafzadeh FPGA implementation and analysis of image restoration. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26William Fornaciari, Vincenzo Piuri, Luigi Ripamonti Virtualization of FPGA via segmentation (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Barry Shackleford, Etsuko Okushi, Mitsuhiro Yasuda, Hisao Koizumi, Katsuhiko Seo, Takashi Iwamoto, Hiroto Yasuura An FPGA-based genetic algorithm machine (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Ian Brynjolfson, Zeljko Zilic FPGA clock management for low power applications (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26V. S. Balakrishnan, Hardy J. Pottinger, Fikret Erçal, Mukesh Agarwal Design and implementation of an FPGA based processor for compressed images (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Kun-Ming Ho, Allen C.-H. Wu Module Generation of High Performance FPGA-Based Multipliers. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Enrica Filippi, Archille Montanaro, Maurizio Paolini, Maura Turolla FPGA Design Experiences Using the CSELT VIP (TM) Library. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26John McCluskey Practical Applications of Recursive VHDL Components in FPGA Synthesis. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Jason Cong, Chang Wu, Yuzheng Ding Cut Ranking and Pruning: Enabling a General and Efficient FPGA Mapping Solution. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Emeka Mosanya, Eduardo Sanchez A FPGA-Based Hardware Implementation of Generalized Profile Search Using Online Arithmetic. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Lirida A. B. Naviner, Jean-Luc Danger, C. Laurent High-Performance Low-Cost Implementation of Two-Dimensional DCT Processor nn FPGA. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Karlheinz Weiß, Thorsten Steckstor, Gernot Koch, Wolfgang Rosenstiel Exploiting FPGA-Features During the Emulation of a Fast Reactive Embedded System. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Zhiyuan Li 0008, Scott Hauck Don't Care Discovery for FPGA Configuration Compression. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Takahiro Murooka, Atsushi Takahara, Toshiaki Miyazaki Why a CAD-Verified FPGA Makes Routing so Simple and Fast! A Result of Co-Designing FPGAs and CAD Algorithms. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Guang-Ming Wu, Michael Shyu, Yao-Wen Chang Universal Switch Blocks for Three-Dimensional FPGA Design. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Alexander Marquardt, Vaughn Betz, Jonathan Rose Using Cluster-Based Logic Blocks and Timing-Driven Packing to Improve FPGA Speed and Density. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Jose Luis Nunez, Claudia Feregrino, Stephen Bateman, Simon R. Jones The X-MatchLITE FPGA-Based Data Compressor. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Chris Dick High-Performance 2-D FPGA DCTs Using Polynomial Transforms. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Efficient Support of Hardware Debugging Through FPGA Physical Design Partitioning. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Valery Sklyarov, José A. Fonseca, Ricardo Sal Monteiro, Arnaldo S. R. Oliveira, Andreia Melo, Nuno Lau, Konstantin Kondratjuk, Iouliia Skliarova, Paulo A. C. S. Neves, António de Brito Ferrari FPGA-Targeted Development System for Embedded Applications. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Klaus Kornmesser, Torsten Kuberka, Andreas Kugel, Reinhard Männer, Stephan Rühl, M. Sessler, Holger Singpiel ATLANTIS - A Hybrid Approach Combining the Power of FPGA and RISC Processors Based on CompactPCI. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Vaughn Betz, Jonathan Rose FPGA Routing Architecture: Segmentation and Buffering to Optimize Speed and Density. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Sinan Kaptanoglu, Greg Bakker, Arun Kundu, Ivan Corneillet, Ben Ting A New High Density and Very Low Cost Reprogrammable FPGA Architecture. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Vinoo Srinivasan, Ranga Vemuri Throughput Optimization with Design Space Exploration During Partitioning for Multi-FPGA Architectures. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26A. Lecerf, François Vachon, D. Ouellet, Miguel O. Arias-Estrada FPGA Based Computer Vision Camera. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Om Agrawal, Herman Chang, Brad Sharpe-Geisler, Nick Schmitz, Bai Nguyen 0002, Jack Wong, Giap Tran, Fabiano Fontana, Bill Harding An Innovative, Segmented High Performance FPGA Family with Variable-Grain-Architecture and Wide-Gating Functions. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Ray Andraka A Survey of CORDIC Algorithms for FPGA Based Computers. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF polar conversion, vector magnitude, CORDIC, sine, cosine
26Franco Fummi, A. Marshall, Laura Pozzi, Mariagiovanna Sami Minimizing the Application Time for Manufacturer Testing of FPGA (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VERIFY
26Tsuyoshi Isshiki, Takenobu Shimizugashira, Akihisa Ohta, Imanuddin Amril, Hiroaki Kunieda A New FPGA Architecture for High-Performance bit-Serial Pipeline Datapath (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Jesus Crespo, Juan Carlos Diaz, Pimitivo Matas FPGA Implementation of an ATM Traffic Shaper: ATS (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Wai-Kei Mak, D. F. Wong 0001 Performance-Driven Board-Level Routing for FPGA-Based Logic Emulation (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Oliver Diessel, Hossam A. ElGindy Partial FPGA Rearrangement by Local Repacking (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Takenori Kouda, Yahiko Kambayashi FPGA Circuit Optimization Based on Block Integration (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Silviu M. S. A. Chiricescu, Mankuan Michael Vai Design of a Three-Dimensional FPGA for Reconfigurable Computing Machines (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Paul T. Sasaki A Fast FPGA (FFPGA) Using Active Interconnect (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Hanho Lee, Sarvesh Shrivastava, Gerald E. Sobelman FPGA Logic Block Architecture for Digit-Serial DSP Applications (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Jo Depreitere, Herwig Van Marck, Jan Van Campenhout GART: A New, Flexible Placement and Routing Tool for Research on FPGA Architectures (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Kurt Keutzer Challenges in CAD for the One Million Gate FPGA. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Akihiro Tsutsui, Toshiaki Miyazaki YARDS: FPGA/MPU Hybrid Architecture for Telecommunication Data Processing. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Jason Cong, Yean-Yow Hwang Partially-Dependent Functional Decomposition with Applications in FPGA Synthesis and Mapping. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26R. Glenn Wood, Rob A. Rutenbar FPGA Routing and Routability Estimation via Boolean Satisfiability. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Brian Von Herzen Signal Processing at 250 MHz Using High-Performance FPGA's. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Monica Alderighi, E. L. Gummati, Vincenzo Piuri, Giacomo R. Sechi A FPGA-Based Implementation of a Fault-Tolerant Neural Architecture for Photon Identification. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Glenn H. Chapman, Benoit Dufort Laser Correcting Defects to Create Transparent Routing for Large Area FPGA's. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Jianzhong Shi, Dinesh Bhatia Performance Driven Floorplanning for FPGA Based Designs. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Steven Trimberger, Khue Duong, Bob Conn Architecture Issues and Solutions for a High-Capacity FPGA. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Frank Vahid I/O and Performance Tradeoffs with the FunctionBus During Multi-FPGA Partitioning. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Kengo Azegami, Shoichiro Kashiwakura, Koichi Yamashita Flexible FPGA Architecture Realized of General Purpose SOG. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Alireza Kaviani, Stephen Dean Brown Hybrid FPGA Architecture. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Charles E. Stroud, Ping Chen, Srinivasa Konala, Miron Abramovici Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Chris Dick Computing the Discrete Fourier Transform on FPGA Based Systolic Arrays. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Eduardo I. Boemo, Sergio López-Buedo, Juan M. Meneses The Wave Pipeline Effect on LUT-Based FPGA Architectures. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Kalapi Roy-Neogi, Carl Sechen Multiple FPGA Partitioning with Performance Optimization. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Tsuyoshi Isshiki, Wayne Wei-Ming Dai High-Level Bit-Serial Datapath Synthesis for Multi-FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Jason Cong, Yean-Yow Hwang Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Françis Calmon, M. Fathallah, P. J. Viverge, Christian Gontrand, Jordi Carrabina, P. Foussier FPGA and Mixed FPGA-DSP Implementations of Electrical Drive Algorithms. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Ching-Dong Chen, Yuh-Sheng Lee, Allen C.-H. Wu, Youn-Long Lin TRACER-fpga: a router for RAM-based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Euripides Sotiriades, Apostolos Dollas A General Reconfigurable Architecture for the BLAST Algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA BLAST, reconfigurable BLAST architecture, FPGA BLAST architecture, bioinformatic hardware, bioinformatic FPGA
25Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process
25René Müller 0001, Jens Teubner FPGAs: a new point in the database design space. Search on Bibsonomy EDBT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, VLSI, hardware acceleration, data processing
25Roman L. Lysecky, Frank Vahid Design and implementation of a MicroBlaze-based warp processor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF soft processor cores, FPGA, dynamic optimization, hardware/software partitioning, configurable logic, Warp processors, just-in-time (JIT) compilation
25Salvatore Pontarelli, Marco Ottavi, Vamsi Vankamamidi, Gian Carlo Cardarilli, Fabrizio Lombardi, Adelio Salsano Analysis and Evaluations of Reliability of Reconfigurable FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Reliability, Fault model, Defect tolerance
25John D. Davis, Zhangxi Tan, Fang Yu 0002, Lintao Zhang A practical reconfigurable hardware accelerator for Boolean satisfiability solvers. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BCP, FPGA, reconfigurable, SAT solver, co-processor
25Ehsan Atoofian, Zainalabedin Navabi A Test Approach for Look-Up Table Based FPGAs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF LUT testing, TPG with LE, BIST, memory testing, FPGA testing
25Heinz Mattes, Stéphane Kirmser, Sebastian Sattler Next Generation ADC Massive Parallel Testing with Real Time Parameter Evaluation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delta-sigma-converter, ??-modulation, FPGA, mixed-signal test, ADC test
25Gang Chen 0020, Jason Cong Simultaneous placement with clustering and duplication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF clustering, FPGA, Placement, legalization, duplication, redundancy removal
25Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, multiprocessor, network-on-chip, topology, interconnect
25Michael J. Wirthlin Constant Coefficient Multiplication Using Look-Up Tables. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, DSP, multiplication, look-up table
25Deming Chen, Jason Cong Delay optimal low-power circuit clustering for FPGAs with dual supply voltages. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF circuit clustering, low-power FPGA, dual supply voltage
25Nobuyuki Ohba, Kohji Takano An SoC design methodology using FPGAs and embedded microprocessors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mixed-level verification, SoC, ASIC, FPGA prototyping
25David Andrews 0001, Douglas Niehaus Architectural Frameworks for MPP Systems on a Chip. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF thread programming, FPGA, embedded systems, reconfigurable architectures, computational frameworks
25Kris Gaj, Tarek A. El-Ghazawi, Nikitas A. Alexandridis, Jacek R. Radzikowski, Mohamed Taher, Frederic Vroman Effective Utilization and Reconfiguration of Distributed Hardware Resources Using Job Management Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed hardware resources, Job Management Systems, accelerator boards, FPGA, job scheduling, reconfigurable hardware
25Uwe Meyer-Bäse, Antonio García 0001, Fred J. Taylor Implementation of a Communications Channelizer using FPGAs and RNS Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable logic (FPL), complex programmable logic devices (CPLD), zero-IF filter, field programmable gate array (FPGA), digital signal processing (DSP), residue number system (RNS), channelizer
25Yohei Hori, Minenobu Seki, Reijer Grimbergen, Tsutomu Maruyama, Tsutomu Hoshino A Shogi Processor with a Field Programmable Gate Array. Search on Bibsonomy Computers and Games The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable hardware, Shogi
25Yajun Ha, Patrick Schaumont, Marc Engels, Serge Vernalde, Freddy Potargent, Luc Rijnders, Hugo De Man A Hardware Virtual Machine for the Networked Reconfiguration. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, reconfiguration, computer architecture, placement and routing
25Lijun Gao, Sarvesh Shrivastava, Hanho Lee, Gerald E. Sobelman A Compact Fast Variable Key Size Elliptic Curve Cryptosystem Coprocessor. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, Elliptic curve cryptography, Reconfigurable hardware, Scalar multiplication, Galois field, Coprocessor
25Charles E. Stroud, Srinivasa Konala, Ping Chen, Miron Abramovici Built-in self-test of logic blocks in FPGAs (Finally, a free lunch: BIST without overhead!). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF BIST architecture, programmable logic blocks, field programmable gate arrays, VLSI, logic testing, built-in self test, built-in self-test, integrated circuit testing, automatic testing, FPGA testing, field programmable gate array testing
25Suthikshn Kumar, Kevin E. Forward, Marimuthu Palaniswami A fast-multiplier generator for FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fast-multiplier generator, variable word length multipliers, Booth encoded optimized Wallace tree architecture, field programmable gate arrays, FPGAs, parallel architectures, artificial neural networks, multiplying circuits, FPGA architecture, neural chips
25Mark Hammerquist, Roman L. Lysecky Design space exploration for application specific FPGAS in system-on-a-chip designs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jason Cong, Kirill Minkovich Optimality Study of Logic Synthesis for LUT-Based FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Xuejun Liang, Jack S. N. Jean Mapping of generalized template matching onto reconfigurable computers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Mihai Sima, Sorin Cotofana, Stamatis Vassiliadis, Jos T. J. van Eijndhoven, Kees A. Vissers A Reconfigurable Functional Unit for TriMedia/CPU64. A Case Study. Search on Bibsonomy Embedded Processor Design Challenges The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Moritoshi Yasunaga, Ikuo Yoshihara, Jung Hwan Kim A High Speed and High Fault Tolerant Reconfigurable Reasoning System: Toward a Wafer Scale Reconfigurable Reasoning LSI. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Jonathan Babb, Russell Tessier, Matthew Dahl, Silvina Hanono, David M. Hoki, Anant Agarwal Logic emulation with virtual wires. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Dimitris Theodoropoulos, Georgi Kuzmanov, Georgi Gaydadjiev A 3d-audio reconfigurable processor. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable computing, communication systems, beamforming, 3d-audio, wave field synthesis
24Zefu Dai, Nick Ni, Jianwen Zhu A 1 cycle-per-byte XML parsing accelerator. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bart, schema validation, string comparison, xml parsing, ethernet, bloom filter, dom, tree construction
24Zhimin Chen 0002, Richard Neil Pittman, Alessandro Forin Combining multicore and reconfigurable instruction set extensions. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF extensible microprocessors, reconfigurable instruction set extensions, embedded, multi-core
24Usman Ahmed, Guy G. Lemieux, Steven J. E. Wilton The impact of interconnect architecture on via-programmed structured ASICs (VPSAs). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured asics, via programmable fabric
24Michael Brown, Cyrus Bazeghi, Matthew R. Guthaus, Jose Renau Measuring and modeling variabilityusing low-cost FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variability
24Paul E. Marks, Cameron D. Patterson Data streaming and simd support for the microblaze architecture. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF streaming coprocessors, vector units, reconfigurability
24Stephen Friedman, Allan Carroll, Brian Van Essen, Benjamin Ylvisaker, Carl Ebeling, Scott Hauck SPR: an architecture-adaptive CGRA mapping tool. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modulo graph, spr, static sharing, clustering, scheduling, routing, placement, pathfinder
24Kanupriya Gulati, Sunil P. Khatri, Peng Li 0001 Closed-loop modeling of power and temperature profiles of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sub-threshold leakage, dynamic power
24Adrian Ludwin, Vaughn Betz, Ketan Padalia High-quality, deterministic parallel placement for FPGAs on commodity hardware. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel placement, FPGAs, timing-driven placement
24Jason Cong, Guoling Han, Wei Jiang Synthesis of an application-specific soft multiprocessor system. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clustering, multiprocessor, pipeline, labeling, design space
24Wenyi Feng, Sinan Kaptanoglu Designing efficient input interconnect blocks for LUT clusters using counting and entropy. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cluster, FPGAs, entropy, interconnect, counting, PLDs, LUT
Displaying result #901 - #1000 of 21486 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license