The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fault with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1967 (15) 1968-1970 (24) 1971 (25) 1972 (20) 1973 (19) 1974 (30) 1975 (45) 1976 (37) 1977 (36) 1978 (56) 1979 (27) 1980 (52) 1981 (46) 1982 (52) 1983 (69) 1984 (110) 1985 (104) 1986 (168) 1987 (170) 1988 (328) 1989 (334) 1990 (422) 1991 (430) 1992 (450) 1993 (555) 1994 (615) 1995 (696) 1996 (734) 1997 (720) 1998 (741) 1999 (911) 2000 (1031) 2001 (1007) 2002 (1115) 2003 (1336) 2004 (1496) 2005 (1747) 2006 (2017) 2007 (2111) 2008 (2018) 2009 (1766) 2010 (1426) 2011 (1436) 2012 (1471) 2013 (1626) 2014 (1595) 2015 (1839) 2016 (1761) 2017 (1936) 2018 (2301) 2019 (2809) 2020 (2718) 2021 (3159) 2022 (3126) 2023 (3684) 2024 (989)
Publication types (Num. hits)
article(23893) book(52) data(18) incollection(194) inproceedings(30594) phdthesis(709) proceedings(101)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 25639 occurrences of 5402 keywords

Results
Found 55561 publication records. Showing 55561 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Linas Laibinis, Elena Troubitsyna, Sari Leppänen, Johan Lilius, Qaisar A. Malik Formal Service-Oriented Development of Fault Tolerant Communicating Systems. Search on Bibsonomy RODIN Book The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault tolerance, UML, B Method, communicating systems, service-oriented development
23Sheng-De Wang, Pao Hwa Sui Fault-Tolerant Routing in Two-Dimensional Mesh Networks with Less-Restricted Fault Patterns. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF deadlocks, wormhole routing, Mesh networks, virtual channels, fault-tolerant routing
23Nobuo Tsuda Fault-Tolerant Ring- and Toroidal Mesh-Connected Processor Arrays Able to Enhance Emulation of Hypercubes. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault tolerance, hypercube, mesh, emulation, ring, massively parallel computer
23Béchir el Ayeb Fault Identification Algorithmic: A New Formal Approach. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault Identification Algorithm, New Formal Approach, Complexity, Graph, System-Level Diagnosis
23Sergio D'Angelo, Giacomo R. Sechi, Cecilia Metra Transient and Permanent Fault Diagnosis for FPGA-Based TMR Systems. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF transient fault detection, Field Programmable Gate Array, TMR systems
22Hans G. Kerkhoff, Hans P. A. Hendriks Fault Modeling and Fault Simulation in Mixed Micro-Fluidic Microelectronic Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF microsystem testing, analogue fault modeling, analogue fault simulation, fluidic FEM simulation, defect-oriented testing
22Naotake Kamiura, Masashi Tomita, Teijiro Isokawa, Nobuyuki Matsui On Variable-Shift-Based Fault Compensation of Fuzzy Controllers. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fault compensation, fault tolerance, fuzzy control, stuck-at faults, on-line testing
22Takehiro Ito, Itsuo Takanami On fault injection approaches for fault tolerance of feedforward neural networks. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF snapping faults, learning cycle, ditribution of correlations, output neuron, fault tolerance, reliabilities, fault injection, stuck-at faults, computer simulation, learning algorithm, feedforward neural networks, feedforward neural nets, recognition rate, learning methods, internal structure
22Wei Liang Huang, Fred J. Meyer, Fabrizio Lombardi Multiple fault detection in logic resources of FPGAs. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logic resources, AND tree, OR tree, CLB test generation, field programmable gate arrays, fault model, configurability, testability, programmability, multiple fault detection, SRAM-based FPGA
22Lucas Layman, Laurie A. Williams, Robert St. Amant MimEc: intelligent user notification of faults in the eclipse IDE. Search on Bibsonomy CHASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF intelligent IDE, psychology of programming
22T. S. Ganesh, Viswanathan Subramanian, Arun K. Somani SEU Mitigation Techniques for Microprocessor Control Logic. Search on Bibsonomy EDCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22K. H. (Kane) Kim Systematic Composition and Analyzability of Dependable Networked Embedded Computing Systems. Search on Bibsonomy SRDS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Peter Liggesmeyer, Oliver Mäckel Quantifying the Reliability of Embedded Systems by Automated Analysis. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Mohamed Kaâniche, Jean-Claude Laprie, Jean-Paul Blanquart A Dependability-Explicit Model for the Development of Computing Systems. Search on Bibsonomy SAFECOMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Sunghun Kim 0001, Thomas Zimmermann 0001, E. James Whitehead Jr., Andreas Zeller Predicting faults from cached history. Search on Bibsonomy ISEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache, locality, prediction, fault, bug
22Wenjing Rao, Alex Orailoglu, Ramesh Karri Towards Nanoelectronics Processor Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, computational model, processor architecture, nanoelectronics, time redundancy, hardware redundancy
22Smita Krishnaswamy, Igor L. Markov, John P. Hayes Tracking Uncertainty with Probabilistic Logic Circuit Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF probabilistic faults, logic circuit testing, fault-modeling framework, test-vector sensitivity, integer linear programming
22Avik Chakraborty Synthesis of Reversible Circuits for Testing with Universal Test Set and C-Testability of Reversible Iterative Logic Arrays. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault models, Testability, Design for test, Iterative logic arrays, Universal test sets, Reversible circuits
22Thomas J. Ostrand, Elaine J. Weyuker The distirubtion of faults in a large industrial software system. Search on Bibsonomy ISSTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF software testing, empirical study, software faults, fault-prone, pareto
22Samrat Goswami, Anupam Chanda, D. Roy Choudhury Generation of an Ordered Sequence of Test Vectors for Single State Transition Faults in Large Sequential Machines. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Testing FSM, Single State Transition Fault Model, Sequential Machine
22Hoijin Yoon, Byoungju Choi Inter-Class Test Technique Between Black-Box-Class and White-Box-Class for Component Customization Failures. Search on Bibsonomy APSEC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Component customization testing, component-based fault injection, component-based development
22Arabi Keshk, Kozo Kinoshita, Yukiya Miura Procedure to Overcome the Byzantine General's Problem for Bridging Faults in CMOS Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Byzantine General's problem, Bridging fault
21Bo Yao, Irith Pomeranz, Sudhakar M. Reddy Deterministic broadside test generation for transition path delay faults. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF broadside test, deterministic test generation, path delay fault, transition fault
21Kiran Ijaz, Umar Manzoor, Arshad Ali Shahid Distributed Dependable Enterprise Business System - DDEBS. Search on Bibsonomy WSKS (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault tolerant behavior, FTIMA Architecture, Fault Tolerance, Multi-agent System, Distributed Transactions, Dependable Systems
21Jason G. Brown, R. D. (Shawn) Blanton Automated Standard Cell Library Analysis for Improved Defect Modeling. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF test generation, diagnosis, fault simulation, fault, defect
21Sa'adah Hassan, David McSherry, David W. Bustard Autonomic self healing and recovery informed by environment knowledge. Search on Bibsonomy Artif. Intell. Rev. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault diagnosis, Autonomic computing, Case based reasoning, Self healing, Fault management, Rule based reasoning
21Kalpesh Kapoor Formal Analysis of Coupling Hypothesis for Logical Faults. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Logical fault, Software testing, Mutation testing, Fault-based testing, Boolean specification
21Chien-Fu Cheng, Shu-Ching Wang, Tyne Liang Zone-Oriented Byzantine Agreement on Zone-Based Wireless Ad-Hoc Network. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF zone-based wireless ad-hoc network, malicious fault, fault-tolerance, distributed system, Byzantine agreement
21Sudipto Ghosh, John L. Kelly, Roopashree P. Shankar Enabling the Selection of COTS Components. Search on Bibsonomy ICCBSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF comprehension model, fault injection testing, security, fault tolerance, components, selection, COTS
21Mário Zenha Rela, João Carlos Cunha, Carlos Bruno Silva, Luís Ferreira da Silva On the Effects of Errors During Boot. Search on Bibsonomy LADC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerance, embedded systems, fault-injection, boundary-scan, dependability evaluation
21Yannick Monnet, Marc Renaudin, Régis Leveugle Asynchronous circuits transient faults sensitivity evaluation. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF quasi delay insensitive, simulation, fault model, asynchronous circuits, transient fault
21Olivier Contant, Stéphane Lafortune, Demosthenis Teneketzis Diagnosis of Intermittent Faults. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault diagnosis, fault detection, diagnosability, intermittent faults
21Dan Alexandrescu, Lorena Anghel, Michael Nicolaidis Simulating Single Event Transients in VDSM ICs for Ground Level Radiation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF single fault propagation, fault simulation, soft-errors, single event upsets
21Nahmsuk Oh, Subhasish Mitra, Edward J. McCluskey ED4I: Error Detection by Diverse Data and Duplicated Instructions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Software implemented hardware fault tolerance (SIHFT), low cost fault tolerance, data diversity, duplicated instructions, concurrent error detection
21Alessandro Brawerman, Elias Procópio Duarte Jr. An Isochronous Testing Strategy for Hierarchical Adaptive Distributed System-Level Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hierarchical diagnosis, network fault management, fault-tolerance, distributed systems, distributed diagnosis
21Ismed Hartanto, Srikanth Venkataraman, W. Kent Fuchs, Elizabeth M. Rudnick, Janak H. Patel, Sreejit Chakravarty Diagnostic simulation of stuck-at faults in sequential circuits using compact lists. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF stuck-at fault diagnosis, Fault simulation
21Chris J. Walter, Patrick Lincoln, Neeraj Suri Formally Verified On-Line Diagnosis. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF formal methods, Fault diagnosis, on-line, fault handling
21Irith Pomeranz, Sudhakar M. Reddy Functional test generation for delay faults in combinational circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level realizations, logic testing, delays, test generation, test generators, combinational circuits, fault simulated, logic CAD, delay faults, functional fault model, functional test generation
21Alessandro Bogliolo, Maurizio Damiani, Piero Olivo, Bruno Riccò Reliability evaluation of combinational logic circuits by symbolic simulation. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF mcnc benchmark circuits, fault-tolerant combinational logic circuits, circuit functionality, fault indicators, control variables, BDD-based symbolic simulation, undetectable multiple faults, VLSI, VLSI, combinational circuits, logic CAD, digital simulation, circuit analysis computing, reliability evaluation, integrated circuit reliability
21Alex Shye, Joseph Blomstedt, Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors PLR: A Software Approach to Transient Fault Tolerance for Multicore Architectures. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Ralph D. Jeffords, Constance L. Heitmeyer, Myla Archer, Elizabeth I. Leonard A Formal Method for Developing Provably Correct Fault-Tolerant Systems Using Partial Refinement and Composition. Search on Bibsonomy FM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Hua Wang 0008, Ke Zhou 0001, Ling Yuan Fault-Tolerant Online Backup Service: Formal Modeling and Reasoning. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Yongning Tang, Guang Cheng 0001, Zhiwei Xu 0001, Ehab Al-Shaer Community-base Fault Diagnosis Using Incremental Belief Revision. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Sunan Huang 0001, Kok Kiong Tan Fault Detection, Isolation, and Accommodation Control in Robotic Systems. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Wenjing Rao, Alex Orailoglu Towards fault tolerant parallel prefix adders in nanoelectronic systems. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Balaje T. Thumati, Sarangapani Jagannathan A model based fault detection and prognostic scheme for uncertain nonlinear discrete-time systems. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Kyunghwan Han, Soo-Young Lee A parallel implementation of fault simulation on a cluster of workstations. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Pablo Neira Ayuso, Laurent Lefèvre, Rafael Martinez Gasca hFT-FW: Hybrid Fault-Tolerance for Cluster-Based Stateful Firewalls. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Min Yu, Zhengyou He, Qingquan Qian Reliability and Safety Modeling of Fault Tolerant Control System. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Changqing Lin, Qun Zong Fault Tolerant Control of Networked Control Systems Based on MPC. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Elhadi M. Shakshuki, Xinyu Xing A Fault Inference Mechanism in Sensor Networks Using Markov Chain. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Shaoping Wang, Jian Shi, Mileta M. Tomovic Fault Isolation Based on Subjective Bayes' Reasoning for Redundant Actuation System. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ilia Rapoport, Yaakov Oshman Weiss-Weinstein Lower Bounds for Markovian Systems. Part 2: Applications to Fault-Tolerant Filtering. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Woonsuk Suh, Seunghwa Lee, Eunseok Lee 0001 Improved Scheme for Telematics Fault Tolerance with Agents. Search on Bibsonomy Analysis and Design of Intelligent Systems using Soft Computing Techniques The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Anjali Joshi, Mats Per Erik Heimdahl Behavioral Fault Modeling for Model-based Safety Analysis. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Wenjing Rao, Alex Orailoglu, Ramesh Karri Fault Tolerant Approaches to Nanoelectronic Programmable Logic Arrays. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Alex Shye, Tipp Moseley, Vijay Janapa Reddi, Joseph Blomstedt, Daniel A. Connors Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Anurag Dasgupta, Sukumar Ghosh, Xin Xiao Probabilistic Fault-Containment. Search on Bibsonomy SSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Paul Racunas, Kypros Constantinides, Srilatha Manne, Shubhendu S. Mukherjee Perturbation-based Fault Screening. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Hao-Peng Chen, Cheng Zhang A Fault Detection Mechanism for Service-Oriented Architecture Based on Queueing Theory. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Bastian Braun FCPre: Extending the Arora-Kulkarni Method of Automatic Addition of Fault-Tolerance. Search on Bibsonomy ARES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Michele Cirinei, Enrico Bini, Giuseppe Lipari, Alberto Ferrari A Flexible Scheme for Scheduling Fault-Tolerant Real-Time Tasks on Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Lingling Zhao, Kuihe Yang Machinery Fault Diagnosis Using Least Squares Support Vector Machine. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Heidar A. Talebi, Rajnikant V. Patel, Khashayar Khorasani Fault detection and isolation for uncertain nonlinear systems with application to a satellite reaction wheel actuator. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Fatemeh Pirmoradi, Farrokh Sassani, C. W. de Silva An efficient algorithm for health monitoring and fault diagnosis in a spacecraft attitude determination system. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Yongxuan Lai, Hong Chen 0001 Energy-Efficient Fault-Tolerant Mechanism for Clustered Wireless Sensor Networks. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Wenqing Zhao, Yongli Zhu Power Transformer Fault Diagnosis Based on Rough Set Theory and Support Vector Machine. Search on Bibsonomy FSKD (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Yasutaka Kamei, Akito Monden, Shinsuke Matsumoto, Takeshi Kakimoto, Ken-ichi Matsumoto The Effects of Over and Under Sampling on Fault-prone Module Detection. Search on Bibsonomy ESEM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Soo Kim, JeongGil Ko, Jongwon Yoon, Heejo Lee Energy-Efficient and Fault-Tolerant Positioning of Multiple Base Stations. Search on Bibsonomy ICOIN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21S. Baghavathi Priya, M. Prakash, K. K. Dhawan Fault Tolerance-Genetic Algorithm for Grid Task Scheduling using Check Point. Search on Bibsonomy GCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Kiranmai Bellam, Ziliang Zong, Mohammed I. Alghamdi, Mais Nijim, Xiao Qin 0001 Integrating Fault Recovery and Quality of Security in Real-Time Systems. Search on Bibsonomy AINA Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Juan A. Carrasco Failure Transition Distance-Based Importance Sampling Schemes for theSimulation of Repairable Fault-Tolerant Computer Systems. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Manan Syal, Michael S. Hsiao New techniques for untestable fault identification in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ling Wang, Jinshou Yu A Modified Discrete Binary Ant Colony Optimization and Its Application in Chemical Process Fault Diagnosis. Search on Bibsonomy SEAL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Zhuohua Duan, Zixing Cai, Jinxia Yu Adaptive Particle Filter for Unknown Fault Detection of Wheeled Mobile Robots. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Valentin Gherman, Hans-Joachim Wunderlich, Jürgen Schlöffel, Michael Garbers Deterministic Logic BIST for Transition Fault Testing. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Deterministic logic BIST, delay test
21Wenjing Rao, Alex Orailoglu, Ramesh Karri Fault Identification in Reconfigurable Carry Lookahead Adders Targeting Nanoelectronic Fabrics. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jon S. Bækken, Roger T. Alexander A Candidate Fault Model for AspectJ Pointcuts. Search on Bibsonomy ISSRE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Liangmin Wang 0001, Jianfeng Ma 0001, Chao Wang 0085, A. C. Kot Fault and intrusion tolerance of wireless sensor networks. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Linping Wu, Dan Meng, Wen Gao 0001, Jianfeng Zhan A proactive fault-detection mechanism in large-scale cluster systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Wenjun Li, Yuanchun Li A Method of Abrupt Sensor Fault Diagnosis. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21André V. Fidalgo, Gustavo R. Alves, José M. Ferreira 0001 Real Time Fault Injection Using a Modified Debugging Infrastructure. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bharath Seshadri, Xiaoming Yu, Srikanth Venkataraman Accelerating Diagnostic Fault Simulation Using Z-diagnosis and Concurrent Equivalence Identification. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Wenjing Rao, Alex Orailoglu, Ramesh Karri Nanofabric Topologies and Reconfiguration Algorithms to Support Dynamically Adaptive Fault Tolerance. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Vishal Suthar, Shantanu Dutt Mixed PLB and Interconnect BIST for FPGAs Without Fault-Free Assumptions. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Frank Olaf Sem-Jacobsen, Tor Skeie, Olav Lysne, José Duato Dynamic Fault Tolerance with Misrouting in Fat Trees. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy The Cut Delay Fault Model for Guiding the Generation of n-Detection Test Sets for Transition Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
21Haiying Yuan, Guangju Chen Fault Diagnosis in Nonlinear Circuit Based on Volterra Series and Recurrent Neural Network. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jiang Brandon Liu, Andreas G. Veneris Incremental fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sabine M. Böhm H-RAFT - Heuristic Reachability Analysis for Fault Tolerance Protocols Modelled in SDL. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jim Smith 0001, Paul Watson 0001 Fault-Tolerance in Distributed Query Processing. Search on Bibsonomy IDEAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Raja K. K. R. Sandireddy, Vishwani D. Agrawal Diagnostic and Detection Fault Collapsing for Multiple Output Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy The Accidental Detection Index as a Fault Ordering Heuristic for Full-Scan Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jaan Raik, Peeter Ellervee, Valentin Tihhomirov, Raimund Ubar Improved Fault Emulation for Synchronous Sequential Circuits. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Wenjing Rao, Alex Orailoglu, Ramesh Karri Fault tolerant nanoelectronic processor architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jung-Heum Park, Hee-Chul Kim, Hyeong-Seok Lim Fault-Hamiltonicity of Hypercube-Like Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jia-Ling Koh, Pei-Wy Yo An Efficient Approach for Mining Fault-Tolerant Frequent Patterns Based on Bit Vector Representations. Search on Bibsonomy DASFAA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 55561 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license