The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MICRO"( http://dblp.L3S.de/Venues/MICRO )

URL (DBLP): http://dblp.uni-trier.de/db/conf/micro

Publication years (Num. hits)
1972 (15) 1973 (34) 1974 (47) 1976-1977 (28) 1978 (29) 1979 (15) 1980 (23) 1981 (21) 1982 (23) 1984 (35) 1985 (21) 1986 (21) 1987 (23) 1988 (26) 1989 (32) 1990 (35) 1991 (27) 1992 (43) 1993 (28) 1994 (28) 1995 (38) 1996 (31) 1997 (36) 1998 (29) 1999 (30) 2000 (35) 2001 (32) 2002 (37) 2003 (38) 2004 (32) 2005 (34) 2006 (43) 2007 (36) 2008 (43) 2009 (55) 2010 (46) 2011 (45) 2012 (41) 2013 (40) 2014 (54) 2015 (62) 2016 (63) 2017 (62) 2018 (75) 2019 (81) 2020 (83) 2021 (95) 2022 (84) 2023 (102)
Publication types (Num. hits)
inproceedings(1986) proceedings(50)
Venues (Conferences, Journals, ...)
MICRO(2036)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 490 occurrences of 311 keywords

Results
Found 2036 publication records. Showing 2036 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Christophe Dubach, Timothy M. Jones 0001, Edwin V. Bonilla, Michael F. P. O'Boyle A Predictive Model for Dynamic Microarchitectural Adaptivity Control. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shantanu Gupta, Shuguang Feng, Amin Ansari, Scott A. Mahlke Erasing Core Boundaries for Robust and Configurable Performance. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ali Bakhoda, John Kim, Tor M. Aamodt Throughput-Effective On-Chip Networks for Manycore Accelerators. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yoongu Kim, Michael Papamichael, Onur Mutlu, Mor Harchol-Balter Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Minjang Kim, Hyesoon Kim, Chi-Keung Luk SD3: A Scalable Approach to Dynamic Data-Dependence Profiling. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jaekyu Lee, Nagesh B. Lakshminarayana, Hyesoon Kim, Richard W. Vuduc Many-Thread Aware Prefetching Mechanisms for GPGPU Applications. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jie Yu 0016, Satish Narayanasamy Tolerating Concurrency Bugs Using Transactions as Lifeguards. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Adrian Nistor, Darko Marinov, Josep Torrellas InstantCheck: Checking the Determinism of Parallel Programs Using On-the-Fly Incremental Hashing. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Eric S. Chung, Peter A. Milder, James C. Hoe, Ken Mai Single-Chip Heterogeneous Computing: Does the Future Include Custom Logic, FPGAs, and GPGPUs? Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Aparna Kotha, Kapil Anand, Matthew Smithson, Greeshma Yellareddy, Rajeev Barua Automatic Parallelization in a Binary Rewriter. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hanjun Kim 0001, Arun Raman, Feng Liu, Jae W. Lee, David I. August Scalable Speculative Parallelization on Commodity Clusters. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yoav Etsion, Felipe Cabarcas, Alejandro Rico, Alex Ramírez, Rosa M. Badia, Eduard Ayguadé, Jesús Labarta, Mateo Valero Task Superscalar: An Out-of-Order Task Pipeline. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Samira Manabi Khan, Yingying Tian, Daniel A. Jiménez Sampling Dead Block Prediction for Last-Level Caches. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Matthew A. Watkins, David H. Albonesi ReMAP: A Reconfigurable Heterogeneous Multicore Architecture. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Abdullah Muzahid, Norimasa Otsuki, Josep Torrellas AtomTracker: A Comprehensive Approach to Atomic Region Inference and Violation Detection. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daniel Y. Deng, Daniel Lo, Greg Malysa, Skyler Schneider, G. Edward Suh Flexible and Efficient Instruction-Grained Run-Time Monitoring Using On-Chip Reconfigurable Fabric. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Timothy N. Miller, Renji Thomas, James Dinan, Bruce M. Adcock, Radu Teodorescu Parichute: Generalized Turbocode-Based Error Correction for Near-Threshold Caches. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jin Ouyang, Yuan Xie 0001 LOFT: A High Performance Network-on-Chip Providing Quality-of-Service Support. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Minseon Ahn, Eun Jung Kim 0001 Pseudo-Circuit: Accelerating Communication for On-Chip Interconnection Networks. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vijay Janapa Reddi, Svilen Kanev, Wonyoung Kim, Simone Campanoni, Michael D. Smith 0001, Gu-Yeon Wei, David M. Brooks Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Erika Gunadi, Abhishek A. Sinkar, Nam Sung Kim, Mikko H. Lipasti Combating Aging with the Colt Duty Cycle Equalizer. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michael Steffen, Joseph Zambreno Improving SIMT Efficiency of Global Rendering Algorithms with Architectural Support for Dynamic Micro-Kernels. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michael Mihn-Jong Lee, John Kim, Dennis Abts, Michael R. Marty, Jae W. Lee Probabilistic Distance-Based Arbitration: Providing Equality of Service for Many-Core CMPs. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Adrian M. Caulfield, Arup De, Joel Coburn, Todor I. Mollow, Rajesh K. Gupta 0001, Steven Swanson Moneta: A High-Performance Storage Array Architecture for Next-Generation, Non-volatile Memories. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Syed Ali Raza Jafri, Yu-Ju Hong, Mithuna Thottethodi, T. N. Vijaykumar Adaptive Flow Control for Robust Performance and Energy. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jianwei Chen, Lakshmi Kumar Dabbiru, Daniel Wong 0001, Murali Annavaram, Michel Dubois 0001 Adaptive and Speculative Slack Simulations of CMPs on CMPs. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Meng Zhang 0017, Alvin R. Lebeck, Daniel J. Sorin Fractal Coherence: Scalably Verifiable Cache Coherence. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hsiang-Yun Cheng, Chung-Hsiang Lin, Jian Li, Chia-Lin Yang Memory Latency Reduction via Thread Throttling. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ryota Shioya, Kazuo Horio, Masahiro Goshima, Shuichi Sakai Register Cache System Not for Latency Reduction Purpose. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Utku Aydonat, Tarek S. Abdelrahman Hardware Support for Relaxed Concurrency Control in Transactional Memory. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Aamer Jaleel, Eric Borch, Malini Bhandaru, Simon C. Steely Jr., Joel S. Emer Achieving Non-Inclusive Cache Performance with Inclusive Caches: Temporal Locality Aware (TLA) Cache Management Policies. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2010, 4-8 December 2010, Atlanta, Georgia, USA Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  BibTeX  RDF
1Dongyuan Zhan, Hong Jiang 0001, Sharad C. Seth STEM: Spatiotemporal Management of Capacity for Intra-core Last Level Caches. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Amin Ansari, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke ZerehCache: armoring cache architectures in high defect density technologies. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, process variation, manufacturing yield
1Wangyuan Zhang, Tao Li 0006 Characterizing and mitigating the impact of process variations on phase change based memory systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, memory system, phase change memory
1Adrian Nistor, Darko Marinov, Josep Torrellas Light64: lightweight hardware support for data race detection during systematic testing of parallel programs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF execution history hash, data race, systematic testing
1Christophe Dubach, Timothy M. Jones 0001, Edwin V. Bonilla, Grigori Fursin, Michael F. P. O'Boyle Portable compiler optimisation across embedded programs and microarchitectures using machine learning. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture/compiler co-design, machine learning, design-space exploration
1Hari Kannan Ordering decoupled metadata accesses in multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Laura M. Grupp, Adrian M. Caulfield, Joel Coburn, Steven Swanson, Eitan Yaakobi, Paul H. Siegel, Jack K. Wolf Characterizing flash memory: anomalies, observations, and applications. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flash memory, characterization, non-volatile
1Mark Horowitz Why design must change: rethinking digital design. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Vasileios Kontorinis, Amirali Shayan, Dean M. Tullsen, Rakesh Kumar 0002 Reducing peak power with a table-driven adaptive processor core. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF resource resizing, voltage variation, peak power, adaptive architectures, decoupling capacitance
1Sangyeun Cho, Hyunjin Lee Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory write performance, phase-change memory
1Asit K. Mishra, Reetuparna Das, Soumya Eachempati, Ravishankar R. Iyer 0001, Narayanan Vijaykrishnan, Chita R. Das A case for dynamic frequency tuning in on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sasa Tomic, Cristian Perfumo, Chinmay Eishan Kulkarni, Adrià Armejach, Adrián Cristal, Osman S. Unsal, Tim Harris 0001, Mateo Valero EazyHTM: eager-lazy hardware transactional memory. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF EazyHTM, transactional memory
1Ulya R. Karpuzcu, Brian Greskamp, Josep Torrellas The BubbleWrap many-core: popping cores for sequential acceleration. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power wall, process scaling, processor aging, voltage scaling
1George L. Yuan, Ali Bakhoda, Tor M. Aamodt Complexity effective memory access scheduling for many-core accelerator architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF graphics processors, on-chip interconnection networks, memory controller
1Zeshan Chishti, Alaa R. Alameldeen, Chris Wilkerson, Wei Wu 0024, Shih-Lien Lu Improving cache lifetime reliability at ultra-low voltages. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alex Solomatnikov, Amin Firoozshahian, Ofer Shacham, Zain Asgar, Megan Wachs, Wajahat Qadeer, Stephen Richardson, Mark Horowitz Using a configurable processor generator for computer architecture prototyping. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computer architecture prototyping, configurable/extensible processor generator, memory system architecture, reconfigurable architecture, VLSI design
1Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha In-network coherence filtering: snoopy coherence without broadcasts. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ciji Isen, Lizy Kurian John ESKIMO: Energy savings using Semantic Knowledge of Inconsequential Memory Occupancy for DRAM subsystem. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF allocated and freed memory states, cross-boundary or cross-layer architecture optimizations, memory power and energy, program semantic aware architecture
1Moinuddin K. Qureshi, John P. Karidis, Michele Franceschini, Vijayalakshmi Srinivasan, Luis A. Lastras, Bülent Abali Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF phase change memory, wear leveling, endurance
1Hyunchul Park 0001, Yongjun Park 0001, Scott A. Mahlke Polymorphic pipeline array: a flexible multicore accelerator with virtualized execution for mobile multimedia applications. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programmable accelerator, virtualization, software pipelining
1Hongbo Rong Tree register allocation. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register allocation, chordal graph
1Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N. Patt Improving memory bank-level parallelism in the presence of prefetching. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1David H. Albonesi, Margaret Martonosi, David I. August, José F. Martínez (eds.) 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  BibTeX  RDF
1Shekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang SHARP control: controlled shared cache management in chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mahesh Ketkar, Eli Chiprout A microarchitecture-based framework for pre- and post-silicon power delivery analysis. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Boris Grot, Stephen W. Keckler, Onur Mutlu Preemptive virtual clock: a flexible, efficient, and cost-effective QOS scheme for networks-on-chip. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das Application-aware prioritization mechanisms for on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks
1Jaume Abella 0001, Javier Carretero, Pedro Chaparro, Xavier Vera, Antonio González 0001 Low Vccmin fault-tolerant cache with highly predictable performance. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Vccmin, cache, faults, predictable performance
1Wonsun Ahn, Shanxiang Qi, M. Nicolaides, Josep Torrellas, Jae-Woo Lee, Xing Fang, Samuel P. Midkiff, David C. Wong 0001 BulkCompiler: high-performance sequential consistency through cooperative compiler and hardware support. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF atomic region, chunk-based architecture, compiler optimization, sequential consistency
1Dana Vantrease, Nathan L. Binkert, Robert Schreiber, Mikko H. Lipasti Light speed arbitration and flow control for nanophotonic interconnects. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Vladimir Cakarevic, Petar Radojkovic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Characterizing the resource-sharing levels in the UltraSPARC T2 processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun Nigara T2, CMP, job scheduling, simultaneous multithreading, performance characterization, CMT
1Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk 0001 Optimizing shared cache behavior of chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Daniel Hackenberg, Daniel Molka, Wolfgang E. Nagel Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nehalem, Shanghai, benchmark, multi-core, coherency
1Haibo Chen 0001, Liwei Yuan, Xi Wu 0001, Binyu Zang, Bo Huang 0002, Pen-Chung Yew Control flow obfuscation with information flow tracking. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF control flow obfuscation, opaque predicate, information flow tracking, control speculation
1Jason Zebchuk, Vijayalakshmi Srinivasan, Moinuddin K. Qureshi, Andreas Moshovos A tagless coherence directory. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF directory coherence, cache coherence, Bloom filters
1Alex Shye, Benjamin Scholbrock, Gokhan Memik Into the wild: studying real user activity patterns to guide power optimizations for mobile architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mainak Chaudhuri Pseudo-LIFO: the foundation of a new family of replacement policies for last-level caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, replacement policy, last-level cache
1Mitchell Hayenga, Natalie D. Enright Jerger, Mikko H. Lipasti SCARAB: a single cycle adaptive routing and bufferless network. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, interconnection networks, multi-core
1John Kim Low-cost router microarchitecture for on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF router microarchitecture, complexity, on-chip network
1Efraim Rotem, Avi Mendelson, Ran Ginosar, Uri C. Weiser Multiple clock and voltage domains for chip multi processors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock domains, voltage domain, power management, DVFS, chip multi processor
1Siva Kumar Sastry Hari, Man-Lap Li, Pradeep Ramachandran, Byn Choi, Sarita V. Adve mSWAT: low-cost hardware fault detection and diagnosis for multicore systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture, error detection, fault injection, multicore processors
1Dongyoon Lee, Mahmoud Said, Satish Narayanasamy, Zijiang Yang 0006, Cristiano Pereira Offline symbolic analysis for multi-processor execution replay. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-processor replay, shared-memory dependencies, SMT solver
1Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
1Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge Proactive transaction scheduling for contention management. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF proactive scheduling, software runtime, hardware transactional memory
1Gabriel H. Loh Extending the effectiveness of 3D-stacked DRAM caches with an adaptive multi-queue policy. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Changhee Jung, Nathan Clark DDT: design and evaluation of a dynamic program analysis for optimizing data structure usage. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data structure identification, interface functions, memory graphs
1Gilles Pokam, Cristiano Pereira, Klaus Danne, Rolf Kassa, Ali-Reza Adl-Tabatabai Architecting a chunk-based memory race recorder in modern CMPs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory race recorder, determinism, deterministic replay
1Mohit Tiwari, Xun Li 0001, Hassan M. G. Wassel, Frederic T. Chong, Timothy Sherwood Execution leases: a hardware-supported mechanism for enforcing strong non-interference. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate level information flow tracking, covert channels, high assurance systems, timing channels
1Dyer Rolán, Basilio B. Fraguela, Ramon Doallo Adaptive line placement with the set balancing cache. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, adaptivity, cache, balancing
1Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente, Ramon Canal, Pedro López 0001, José Duato An hybrid eDRAM/SRAM macrocell to implement first-level data caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF retention time, static and dynamic memory cells, leakage current
1Brandon Lucia, Luis Ceze Finding concurrency bugs with context-aware communication graphs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bo Zhao 0007, Yu Du, Youtao Zhang, Jun Yang 0002 Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D die stacking, NUCA, process variation, DRAM
1Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Chi-Keung Luk, Sunpyo Hong, Hyesoon Kim Qilin: exploiting parallelism on heterogeneous multiprocessors with adaptive mapping. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive, GPU, mapping, heterogeneous, multicore, dynamic compilation
1Balaram Sinharoy POWER7 multi-core processor design. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Meeta Sharma Gupta, Jude A. Rivers, Pradip Bose, Gu-Yeon Wei, David M. Brooks Tribeca: design for PVT variations with local recovery and fine-grained adaptation. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mark Woh, Yuan Lin 0002, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Richard Bruce, Danny Kershaw, Alastair Reid 0001, Mladen Wilder, Krisztián Flautner From SODA to scotch: The evolution of a wireless baseband processor. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Livio Soares, David K. Tam, Michael Stumm Reducing the harmful effects of last-level cache polluters with an OS-level, software-only pollute buffer. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Aqeel Mahesri, Daniel R. Johnson, Neal Clayton Crago, Sanjay J. Patel Tradeoffs in designing accelerator architectures for visual computing. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Haiming Liu 0001, Michael Ferdman, Jaehyuk Huh 0001, Doug Burger Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Arun Raghavan, Colin Blundell, Milo M. K. Martin Token tenure: PATCHing token counting using directory-based cache coherence. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Isidro Gonzalez, Marco Galluzzi, Alexander V. Veidenbaum, Marco Antonio Ramírez, Adrián Cristal, Mateo Valero A distributed processor state management architecture for large-window processors. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Chen Tian 0002, Min Feng 0001, Vijay Nagarajan, Rajiv Gupta 0001 Copy or Discard execution model for speculative parallelization on multicores. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Xin Fu, Tao Li 0006, José A. B. Fortes NBTI tolerant microarchitecture design in the presence of process variation. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Abhishek Das, Berkin Özisikyilmaz, Serkan Ozdemir, Gokhan Memik, Joseph Zambreno, Alok N. Choudhary Evaluating the effects of cache redundancy on profit. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1M.-C. Frank Chang, Jason Cong, Adam Kaplan, Chunyue Liu, Mishali Naik, Jagannath Premkumar, Glenn Reinman, Eran Socher, Sai-Wang Tam Power reduction of CMP communication networks via RF-interconnects. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Mohit Tiwari, Banit Agrawal, Shashidhar Mysore, Jonathan Valamehr, Timothy Sherwood A small cache of large ranges: Hardware methods for efficiently searching, storing, and updating big dataflow tags. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Xi E. Chen, Tor M. Aamodt Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 2036 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license