The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Lars Gesellensetter, Sabine Glesner, Elke Salecker Formal Verification with Isabelle/HOL in Practice: Finding a Bug in the GCC Scheduler. Search on Bibsonomy FMICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yong Li 0006, Zhiying Wang 0003, Xue-mi Zhao, Jian Ruan, Kui Dai Design of a Low-Power Embedded Processor Architecture Using Asynchronous Function Units. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kingshuk Karuri, Anupam Chattopadhyay, Manuel Hohenauer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Increasing data-bandwidth to instruction-set extensions through register clustering. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Tobias Nopper, Christoph Scholl 0001, Bernd Becker 0001 Computation of minimal counterexamples by using black box techniques and symbolic methods. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Nelson Yen-Chung Chang, Ting-Min Lin, Tsung-Hsien Tsai, Yu-Cheng Tseng, Tian-Sheuan Chang Real-Time DSP Implementation on Local Stereo Matching. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Klaus Gaedke, Malte Borsum, Marco Georgi, Andreas Kluger, Jean-Pierre Le Glanic, Pascal Bernard Architecture and VLSI Implementation of a programmable HD Real-Time Motion Estimator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Paul Morgan, Richard Taylor ASIP Instruction Encoding for Energy and Area Reduction. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Paul Feautrier Scalable and Structured Scheduling. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Structured scheduling, scalability, automatic parallelization
9Mehrdad Reshadi, Nikil D. Dutt, Prabhat Mishra 0001 A retargetable framework for instruction-set architecture simulation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Retargetable instruction-set simulation, generic instruction model, instruction binary encoding, architecture description language, decode algorithm
9Konstantinos Sarrigeorgidis, Jan M. Rabaey A Scalable Configurable Architecture for Advanced Wireless Communication Algorithms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless communication algorithms, low power DSP architectures, SVD, QR, MIMO-OFDM
9Giuseppe Ascia, Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, Davide Patti An Efficent Hierachical Fuzzy Approach for System Level System-on-a-Chip Design. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9David Atienza, Praveen Raghavan, José L. Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo Compiler-Driven Leakage Energy Reduction in Banked Register Files. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ying Yi, Ioannis Nousias, Mark Milward, Sami Khawam, Tughrul Arslan, Iain Lindsay System-level scheduling on instruction cell based reconfigurable systems. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Christopher K. Lennard, Victor Berman, Saverio Fazzari, Mark A. Indovina, Cary Ussery, Marino Strik, John Wilson, Olivier Florent, François Rémond, Pierre Bricaud Industrially proving the SPIRIT consortium specifications for design chain integration. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Aaron Smith, Ramadass Nagarajan, Karthikeyan Sankaralingam, Robert G. McDonald, Doug Burger, Stephen W. Keckler, Kathryn S. McKinley Dataflow Predication. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Tom Vander Aa, Murali Jayapala, Henk Corporaal, Francky Catthoor, Geert Deconinck Instruction Transfer And Storage Exploration for Low Energy VLIWs. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Hoseok Chang, Junho Cho, Wonyong Sung Performance Evaluation of an SIMD Architecture with a Multi-bank Vector Memory Unit. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Koen Van Renterghem, Dieter Verhulst, S. Verschuere, Pieter Demuytere, Jan Vandewege, Xing-Zhi Qiu A Scalable Network ASIP Enabling Flow Awareness in Ethernet Access. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jianying Peng, Xing Qin, Jian Yang 0015, Xiaolang Yan, Xiexiong Chen A Programmable Bitstream Parser for Multiple Video Coding Standards. Search on Bibsonomy ICICIC (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jie Guo 0007, Torsten Limberg, Emil Matús, Björn Mennenga, Reimund Klemm, Gerhard P. Fettweis Code Generation for STA Architecture. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Alessandro G. Di Nuovo, Maurizio Palesi, Davide Patti, Giuseppe Ascia, Vincenzo Catania Fuzzy decision making in embedded system design. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pareto-set reduction, clustering, decision making, multi-objective optimization
9Hiroaki Tanaka, Yoshinori Takeuchi, Keishi Sakanushi, Masaharu Imai, Yutaka Ota, Nobu Matsumoto, Masaki Nakagawa Pack instruction generation for media pUsing multi-valued decision diagram. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-valued decision diagram, SIMD instructions
9Jie Guo 0007, Gleb Belov, Gerhard P. Fettweis A Basic Data Routing Model for a Coarse-Grain Reconfigurable Hardware. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick The potential of the cell processor for scientific computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GEMM, SpMV, three level memory, FFT, sparse matrix, cell processor, stencil
9Soumyajit Dey, Susmit Biswas, Arijit Mukhopadhyay, Anupam Basu An Approach to Architectural Enhancement for Embedded Speech Applications. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Iyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware space exploration, embedded system design, multiprocessor system-on-chip, real-time analysis, electrocardiogram algorithms
9Yu-Kuen Lai, Gregory T. Byrd Stream-Based Implementation of Hash Functions for Multi-Gigabit Message Authentication Codes. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9H. C. Wang, C. K. Yuen A general framework to build new CPUs by mapping abstract machine code to instruction level parallel execution hardware. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Sid Ahmed Ali Touati Register Saturation in Instruction Level Parallelism. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Register requirement, instruction level parallelism, integer linear programming, optimizing compilation, register pressure
9Harm Peters, Ramanathan Sethuraman, Aleksandar Beric, Patrick Meuwissen, Srinivasan Balakrishnan, Carlos A. Alba Pinto, W. M. Kruijtzer, Fabian Ernst, Ghiath Alkadi, Jef L. van Meerbergen, Gerard de Haan Application Specific Instruction-Set Processor Template for Motion Estimation in Video Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Hans-Joachim Stolberg, Mladen Berekovic, Peter Pirsch A Platform-Independent Methodology for Performance Estimation of Multimedia Signal Processing Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia signal processing, bitstream analysis, complexity, MPEG-4, performance estimation, digital signal processor
9Ruby B. Lee, A. Murat Fiskiran PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, processor architecture, instruction set architecture, media processing, ISA
9Tohru Ishihara, Farzan Fallah A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Compiler-Directed Instruction Duplication for Soft Error Detection. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mark G. Arnold Approximating Trigonometric Functions with the Laws of Sines and Cosines using the Logarithmic Number System. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jan-Willem van de Waerdt, Stamatis Vassiliadis, Sanjeev Das, Sebastian Mirolo, Chris Yen, Bill Zhong, Carlos Basto, Jean-Paul van Itegem, Dinesh Amirtharaj, Kulbhushan Kalra, Pedro Rodriguez, Hans Van Antwerpen The TM3270 Media-Processor. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Masatoshi Shima 0001 The Birth, Evolution and Future of the Microprocessor. Search on Bibsonomy CIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mario Schölzel, Peter Bachmann DESCOMP: A New Design Space Exploration Approach. Search on Bibsonomy ARCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Antonio Carlos Schneider Beck, Luigi Carro Application of Binary Translation to Java Reconfigurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Claudio Mucci, Fabio Campi, Antonio Deledda, Alberto Fazzi, Mirco Ferri, Massimo Bocchi A Cycle-Accurate ISS for a Dynamically Reconfigurable Processor Architecture. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Xiushan Feng, Alan J. Hu Cutpoints for formal equivalence verification of embedded software. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF formal verification, embedded software, equivalence checking
9Yu Hu 0016, Qing Li 0001, C.-C. Jay Kuo Run-Time Power Consumption Modeling for Embedded Multimedia Systems. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Chih-wen Hsueh, Tien-Fu Chen, Rong-Guey Chang, Shi-Wu Lo Development of Architecture and Software Technologies in High-Performance Low-Power SoC Design. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Tool Chain, Architecture, Compiler, System-on-Chip, Real-Time Operating System
9Hong Yue, Ming-che Lai, Kui Dai, Zhiying Wang 0003 Design of a Configurable Embedded Processor Architecture for DSP Functions. Search on Bibsonomy ICPADS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Naohiro Ishii, Hiroaki Ogi, Tsubasa Mochizuki, Kazunori Iwata 0001 Parallelism Improvements of Software Pipelining by Combining Spilling with Rematerialization. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Oliver Schliebusch, Anupam Chattopadhyay, Ernst Martin Witte, David Kammler, Gerd Ascheid, Rainer Leupers, Heinrich Meyr Optimization Techniques for ADL-Driven RTL Processor Synthesis. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mehrdad Reshadi, Bita Gorjiara, Daniel D. Gajski Utilizing Horizontal and Vertical Parallelism with a No-Instruction-Set Compiler for Custom Datapaths. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ernst Martin Witte, Anupam Chattopadhyay, Oliver Schliebusch, David Kammler Applying Resource Sharing Algorithms to ADL-driven Automatic ASIP Implementation. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jan-Willem van de Waerdt, Stamatis Vassiliadis Instruction Set Architecture Enhancements for Video Processing. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mohammad Mostafizur Rahman Mozumdar, Kingshuk Karuri, Anupam Chattopadhyay, Stefan Kraemer, Hanno Scharwächter, Heinrich Meyr, Gerd Ascheid, Rainer Leupers Instruction Set Customization of Application Specific Processors for Network Processing: A Case Study. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9A. Murat Fiskiran, Ruby B. Lee On-Chip Lookup Tables for Fast Symmetric-Key Encryption. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9A. Murat Fiskiran, Ruby B. Lee Fast Parallel Table Lookups to Accelerate Symmetric-Key Cryptography. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Aleksandar Beric, Ramanathan Sethuraman, Jef L. van Meerbergen, Gerard de Haan Memory-Centric Motion Estimator. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Nerina Bermudo, Andreas Krall, R. Nigel Horspool Control Flow Graph Reconstruction for Assembly Language Programs with Delayed Instructions. Search on Bibsonomy SCAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Shorin Kyo, Shin'ichiro Okazaki, Tamio Arai An Integrated Memory Array Processor Architecture for Embedded Image Recognition Systems. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Antonio Carlos Schneider Beck, Luigi Carro Dynamic reconfiguration with binary translation: breaking the ILP barrier with software compatibility. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF java, power consumption, binary translation, reconfigurable processors
9Bruce R. Childers, Jack W. Davidson Custom Wide Counterflow Pipelines for High-Performance Embedded Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Counterflow pipelines, automatic architectural synthesis, application-specific processors
9Manoj Kumar Jain, M. Balakrishnan, Anshul Kumar An efficient technique for exploring register file size in ASIP design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Stéphane Chevobbe, Nicolas Ventroux, Frédéric Blanc 0001, Thierry Collette RAMPASS: Reconfigurable and Advanced Multi-processing Architecture for Future Silicon Systems. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Paul Feautrier Scalable and Modular Scheduling. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Prabhat Mishra 0001, Nikil D. Dutt, Yaron Kashai Functional Verification of Pipelined Processors: A Case Study. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Eric Senn, Johann Laurent, Nathalie Julien, Eric Martin 0001 SoftExplorer: Estimation, Characterization, and Optimization of the Power and Energy Consumption at the Algorithmic Level. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Zhi Guo, Walid A. Najjar, Frank Vahid, Kees A. Vissers A quantitative analysis of the speedup factors of FPGAs over processors. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance, FPGA, analysis, VHDL, reconfigurable computing
9Murali Jayapala, Tom Vander Aa, Francisco Barat, Geert Deconinck, Francky Catthoor, Henk Corporaal L0 buffer energy optimization through scheduling and exploration. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Mikhail Smelyanskiy, Scott A. Mahlke, Edward S. Davidson Probabilistic Predicate-Aware Modulo Scheduling. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Jens Braunes, Steffen Köhler, Rainer G. Spallek RECAST: An Evaluation Framework for Coarse-Grain Reconfigurable Architectures. Search on Bibsonomy ARCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Miroslav N. Velev Using positive equality to prove liveness for pipelined microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Francky Catthoor, Henk Corporaal Instruction buffering exploration for low energy VLIWs with instruction clusters. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Gerardo Bandera, Mario A. González, Julio Villalba, Javier Hormigo, Emilio L. Zapata Evaluation of Elementary Functions Using Multimedia Features. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Andy Lambrechts, Tom Vander Aa, Murali Jayapala, Guillermo Talavera, Anthony Leroy, Adelina Shickova, Francisco Barat, Bingfeng Mei, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina Bordoll Design Style Case Study for Embedded Multi Media Compute Nodes. Search on Bibsonomy RTSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Steve Carr 0001, Philip H. Sweany Automatic data partitioning for the agere payload plus network processor. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, partitioning, network processors
9Zili Shao, Qingfeng Zhuge, Meilin Liu, Bin Xiao 0001, Edwin Hsing-Mean Sha Switching-Activity Minimization on Instruction-Level Loop Scheduling for VLIWDSP Applications. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Ganesh Yadav, R. K. Singh, Vipin Chaudhary On Implementation of MPEG-2 Like Real-Time Parallel Media Applications on MDSP SoC Cradle Architecture. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Miroslav N. Velev Efficient formal verification of pipelined processors with instruction queues. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF logic of equality, positive equality, decomposition, SAT
9Tay-Jyi Lin, Hung-Yueh Lin, Chie-Min Chao, Chih-Wei Liu, Chein-Wei Jen A compact DSP core with static floating-point unit & its microcode generation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DSP core, digital signal processor, floating-point units
9Aleksandar Beric, Ramanathan Sethuraman, Harm Peters, Jef L. van Meerbergen, Gerard de Haan, Carlos A. Alba Pinto A 27 mW 1.1 mm2 Motion Estimator for Picture-Rate Up-converter. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Philip Brisk, Adam Kaplan, Majid Sarrafzadeh Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), compiler, resource sharing, integer linear programming (ILP)
9Christoforos E. Kozyrakis, David A. Patterson 0001 Scalable Vector Processors for Embedded Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Kazuaki Ishizaki, Mikio Takeuchi, Kiyokuni Kawachiya, Toshio Suganuma, Osamu Gohda, Tatsushi Inagaki, Akira Koseki, Kazunori Ogata, Motohiro Kawahito, Toshiaki Yasue, Takeshi Ogasawara, Tamiya Onodera, Hideaki Komatsu, Toshio Nakatani Effectiveness of cross-platform optimizations for a java just-in-time compiler. Search on Bibsonomy OOPSLA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Java, optimization, just-in-time compiler
9Andrea Lodi 0002, Mario Toma, Fabio Campi A pipelined configurable gate array for embedded processors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, pipeline, energy, reconfigurable processor
9James C. Dehnert, Brian Grant, John P. Banning, Richard Johnson, Thomas Kistler, Alexander Klaiber, Jim Mattson The Transmeta Code Morphing - Software: Using Speculation, Recovery, and Adaptive Retranslation to Address Real-Life Challenges. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Oliver Wahlen, Manuel Hohenauer, Gunnar Braun, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Xiaoning Nie Extraction of Efficient Instruction Schedulers from Cycle-True Processor Models. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Fabio Campi, Andrea Cappelli, Roberto Guerrieri, Andrea Lodi 0002, Mario Toma, Alberto La Rosa, Luciano Lavagno, Claudio Passerone, Roberto Canegallo A Reconfigurable Processor Architecture and Software Development Environment for Embedded Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Mehrdad Reshadi, Nikhil Bansal 0003, Prabhat Mishra 0001, Nikil D. Dutt An efficient retargetable framework for instruction-set simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generic instruction model, instruction binary encoding, retargetable instruction-set simulation, architecture description language, decode algorithm
9Michael L. Chu, Kevin Fan, Scott A. Mahlke Region-based hierarchical operation partitioning for multicluster processors. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multicluster processor, operation partitioning, clustering, instruction-level parallelism, instruction scheduling, region-based compilation
9Miroslav N. Velev Automatic Abstraction of Equations in a Logic of Equality. Search on Bibsonomy TABLEAUX The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Afshin Abdollahi, Massoud Pedram, Farzan Fallah, Indradeep Ghosh Precomputation-based Guarding for Dynamic and Leakage Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Guido Bertoni, A. Bircan, Luca Breveglieri, Pasqualina Fragneto, Marco Macchetti, Vittorio Zaccaria About the performances of the Advanced Encryption Standard in embedded systems with cache memory. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Christian Panis, Raimund Leitner, Herbert Grünbacher, Jari Nurmi xLIW - a scaleable long instruction word. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Kevin Fan, Nathan Clark, Michael L. Chu, K. V. Manjunath, Rajiv A. Ravindran, Mikhail Smelyanskiy, Scott A. Mahlke Systematic Register Bypass Customization for Application-Specific Processors. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Vinod Kathail, Shail Aditya, Robert Schreiber, B. Ramakrishna Rau, Darren C. Cronquist, Mukund Sivaraman PICO: Automatically Designing Custom Computers. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Sebastian Unger, Frank Mueller 0001 Handling irreducible loops: optimized node splitting versus DJ-graphs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF irreducible flowgraphs, reducible flowgraphs, compilation, instruction-level parallelism, Code optimization, loops, control flow graphs, node splitting
9Oliver Wahlen, Tilman Glökler, Achim Nohl, Andreas Hoffmann 0002, Rainer Leupers, Heinrich Meyr Application specific compiler/architecture codesign: a case study. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ASIP, architecture exploration, retargetable compiler
9Alex Aletà, Josep M. Codina, F. Jesús Sánchez, Antonio González 0001, David R. Kaeli Exploiting Pseudo-Schedules to Guide Data Dependence Graph Partitioning. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Chunhong Chen, Majid Sarrafzadeh Power-Manageable Scheduling Technique for Control Dominated High-Level Synthesis. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Mohamed M. Zahran, Manoj Franklin A Feasibility Study of Hierarchical Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Satoshi Matsushita Design Experience of a Chip Multiprocessor Merlot and Expectation to Functional Verification. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
9Giuseppe Desoli, Nikolay Mateev, Evelyn Duesterwald, Paolo Faraboschi, Josh Fisher A New Facility for Dynamic Control of Program Execution: DELI. Search on Bibsonomy EMSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Lori Carter, Brad Calder Using predicate path information in hardware to determine true dependences. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dependence analysis, predicated execution, path analysis
9Julio Villalba, Gerardo Bandera, Mario A. González, Javier Hormigo, Emilio L. Zapata Polynomial Evaluation on Multimedia Processors. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license