The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for architectures with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1976 (20) 1977 (15) 1978 (25) 1979 (17) 1980 (22) 1981 (28) 1982 (39) 1983 (93) 1984 (51) 1985 (68) 1986 (143) 1987 (195) 1988 (271) 1989 (473) 1990 (388) 1991 (374) 1992 (552) 1993 (595) 1994 (805) 1995 (635) 1996 (709) 1997 (714) 1998 (710) 1999 (866) 2000 (1076) 2001 (1066) 2002 (1309) 2003 (1675) 2004 (1877) 2005 (2323) 2006 (2499) 2007 (2797) 2008 (3160) 2009 (2263) 2010 (1837) 2011 (1919) 2012 (1472) 2013 (1393) 2014 (1698) 2015 (1813) 2016 (1693) 2017 (1675) 2018 (1918) 2019 (1720) 2020 (1734) 2021 (1539) 2022 (1497) 2023 (1583) 2024 (253)
Publication types (Num. hits)
article(10156) book(171) data(2) incollection(671) inproceedings(38713) phdthesis(1323) proceedings(561)
Venues (Conferences, Journals, ...)
SPAA(1561) CoRR(1522) ASAP(1110) PACT(995) SIGCOMM(908) DSD(882) BICA(635) ISPAN(629) SAMOS(622) ARC(569) ICA3PP (1)(519) ICA3PP (2)(501) PAAP(488) NANOARCH(466) DASIP(405) SPA(404) More (+10 of total 4851)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 26884 occurrences of 7514 keywords

Results
Found 51597 publication records. Showing 51597 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Vijaykumar Ramamurthi, Jason McCollum, Christopher Ostler, Karam S. Chatha System Level Methodology for Programming CMP Based Multi-Threaded Network Processor Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Guilherme Dal Pizzol, Philippe Olivier Alexandre Navaux Branch Prediction Topologies for SMT Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Daniel Bauer 0001, Ilias Iliadis, Sean Rooney, Paolo Scotton Communication Architectures for Massive Multi-Player Games. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF massive multi-player games, scalability assessment, federated peer-to-peer systems, client-server systems
20Aviral Shrivastava, Nikil D. Dutt Energy efficient code generation exploiting reduced bit-width instruction set architectures (rISA). Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Klaus Waldschmidt Adaptive System Architectures. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Christopher D. Rickett, Sung-Eun Choi, Bradford L. Chamberlain Compiling High-Level Languages for Vector Architectures. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Vincenzo Eramo, Marco Listanti Dimensioning Models of Shared Resources in Optical Packet Switching Architectures. Search on Bibsonomy QoS-IP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Guido Bertoni, Jorge Guajardo, Gerardo Orlando Systolic and Scalable Architectures for Digit-Serial Multiplication in Fields GF(pm). Search on Bibsonomy INDOCRYPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Karl-Erwin Großpietsch Guest Editor's Edtroduction: Unorthodox Computer Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Istabrak Abdul-Fatah, Shikharesh Majumdar Performance of CORBA-Based Client-Server Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Middleware performance, CORBA performance, client-server performance, software performance, experimental performance evaluation
20Pietro Abate, Marco Bernardo 0001 A scalable approach to the design of SW architectures with dynamically create/destroyed components. Search on Bibsonomy SEKE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Chao-Tsung Huang, Po-Chih Tseng, Liang-Gee Chen Efficient VLSI architectures of lifting-based discrete wavelet transform by systematic design method. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Sundar Iyer, Rui Zhang, Nick McKeown Routers with a single stage of buffering. Search on Bibsonomy SIGCOMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF constraint sets, routers, buffers, switching
20Dilip V. Sarwate, Naresh R. Shanbhag High-speed architectures for Reed-Solomon decoders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Ilka Philippow, Matthias Riebisch Systematic Definition of Reusable Architectures. Search on Bibsonomy ECBS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Frame-works, Architecture, Components, Software product lines, Reusability, Domain Analysis, Object technology, Evolutionary development
20Ramadass Nagarajan, Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler A design space evaluation of grid processor architectures. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Alexander Marquardt, Vaughn Betz, Jonathan Rose Speed and area tradeoffs in cluster-based FPGA architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Bharat P. Dave, Niraj K. Jha COHRA: Hardware-Software Co-Synthesis of Hierarchical Distributed Embedded System Architectures. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF scheduling, distributed systems, embedded systems, hierarchy, allocation, system synthesis, hardware-software co-synthesis
20José L. Fernández, Bárbara Álvarez, Francisco J. García Izquierdo, Ángel Pérez, Juan Antonio de la Puente A Case Study in Quantitative Evaluation of Real-Time Software Architectures. Search on Bibsonomy Ada-Europe The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Damián A. Mallón, Guillermo L. Taboada, Carlos Teijeiro, Juan Touriño, Basilio B. Fraguela, Andrés Gómez 0002, Ramon Doallo, José Carlos Mouriño Performance Evaluation of MPI, UPC and OpenMP on Multicore Architectures. Search on Bibsonomy PVM/MPI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF NAS Parallel Benchmarks (NPB), Performance Evaluation, MPI, OpenMP, Multicore Architectures, UPC
19Youssouf Zatout, Eric Campo, Jean-François Llibre Toward hybrid WSN architectures for monitoring people at home. Search on Bibsonomy MEDES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wireless body area network (WBAN), wireless sensor networks (WSNs), evaluation metrics, hybrid architectures, design framework, home monitoring
19Niranjan Suri Dynamic service-oriented architectures for tactical edge networks. Search on Bibsonomy WEWST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic service-oriented architectures, tactical edge networks, load balancing, service discovery, green computing, service migration
19Ian Gray, Neil C. Audsley Exposing non-standard architectures to embedded software using compile-time virtualisation. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architectures, virtualization, embedded, application-specific, compile-time
19Bertil Schmidt, Douglas L. Maskell Workshop on Using Emerging Parallel Architectures for Computational Science. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF High Performance Computing, Reconfigurable Computing, Computational Science, GPGPU, Parallel Computer Architectures, Heterogeneous Multi-cores
19Matteo Monchiero, Ramon Canal, Antonio González 0001 Power/Performance/Thermal Design-Space Exploration for Multicore Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation of, Modeling, evaluation, Measurement, Parallel Architectures, Shared memory, Energy-aware systems, multiple-processor systems
19Marjan Karkooti, Predrag Radosavljevic, Joseph R. Cavallaro Configurable LDPC Decoder Architectures for Regular and Irregular Codes. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Error correcting codes, Reconfigurable architectures, Low density parity check codes
19Carolyn McGregor, J. Mikael Eklund Real-Time Service-Oriented Architectures to Support Remote Critical Care: Trends and Challenges. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Neonatal Care, Intensive Care Unit, Critical Care, Service Oriented Architectures, Health Informatics, Event Stream Processing
19Hsin-Chou Chi, Chia-Ming Wu, Jun-Hui Lee Integrated Mapping and Scheduling for Circuit-Switched Network-on-Chip Architectures. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network-on-chip architectures, scheduling, mapping, circuit-switched networks
19Christopher Ostler, Karam S. Chatha, Goran Konjevod Approximation Algorithm for Process Mapping on Network Processor Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel IXP2400 architecture, programmable network processor architectures, symmetric multiprocessing, automated system-level design, NP-complete problem, process mapping, polynomial time approximation algorithm, block multithreading
19Cristóbal Costa Soria, Nour Ali, Jennifer Pérez, José A. Carsí, Isidro Ramos Dynamic Reconfiguration of Software Architectures Through Aspects. Search on Bibsonomy ECSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software architectures, Dynamic reconfiguration, AOSD
19Jih-Fu Tu Cache Management for Discrete Processor Architectures. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency
19Srinivasan Ramasubramanian, Arun K. Somani Analysis of optical networks with heterogeneous grooming architectures. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF WDM/TDM switching, heterogeneous grooming architectures, performance modeling, optical networks, blocking probability
19Flávio Oquendo pi-ARL: an architecture refinement language for formally modelling the stepwise refinement of software architectures. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF architecture refinement languages, formal development techniques, software architectures, stepwise refinement
19Rafik Amir Salama, Amir Zeid A UML profile for service oriented architectures. Search on Bibsonomy OOPSLA Companion The full citation details ... 2004 DBLP  DOI  BibTeX  RDF software engineering, service oriented architectures, UML profiles
19Fredy Rivera, Marcos Sánchez-Élez, Milagros Fernández, Román Hermida, Nader Bagherzadeh Efficient mapping of hierarchical trees on coarse-grain reconfigurable architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, computer graphics, reconfigurable architectures, SIMD, hierarchical trees
19Ming Huo, Shikharesh Majumdar Performance of parallel architectures for CORBA-based systems. Search on Bibsonomy WOSP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CORBA performance, high performance middleware, interaction architectures
19Laurence E. LaForge, Kirk F. Korver, M. Sami Fadali What Designers of Bus and Network Architectures Should Know about Hypercubes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Hypercube fault tolerance, hypercube latency, Hamming graphs, K-cubes, Moore graphs, Moore Bound, C-cubes, performability, quorums, configuration architectures, Lee distance
19Fahri Yetim, Elaine M. Raybourn Supporting intercultural computer-mediated discourse: methods, models, and architectures. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2003 DBLP  DOI  BibTeX  RDF intercultural computer-mediated discourse, models, architectures, methods, intercultural communication
19Alice Wang, Anantha P. Chandrakasan Energy-aware architectures for a real-valued FFT implementation. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF energy-quality scalability, microsensors, source tracking and localization, wireless sensor networks, energy efficient, fast fourier transform (FFT), energy-awareness, digital signal processors (DSP), scalable architectures
19Chidamber Kulkarni, Matthias Gries, Christian Sauer 0001, Kurt Keutzer Programming challenges in network processor deployment. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF IPv4 forwarding, programming heterogeneous architectures, mapping, programming model, multi-threading, resource sharing
19Nicolas Sklavos 0001, Odysseas G. Koufopavlou Architectures and VLSI Implementations of the AES-Proposal Rijndael. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF secret key ciphers, security, AES, Rijndael, pipelining architectures
19William L. Freking, Keshab K. Parhi Performance-Scalable Array Architectures for Modular Multiplication. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF modular multipliers, systolic computation, high-radix arithmetic implementation, pipelined architectures
19Vangalur S. Alagar, Ralf Lämmel Three-Tiered Specification of Micro-architectures. Search on Bibsonomy ICFEM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF UML, interaction, formal methods, frameworks, design patterns, reuse, evolution, object-oriented design, micro-architectures
19Juanjo Noguera, Rosa M. Badia Dynamic run-time HW/SW scheduling techniques for reconfigurable architectures. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic run-time scheduling, reconfigurable architectures
19William Fornaciari, Vito Trianni, Carlo Brandolese, Donatella Sciuto, Fabio Salice, Giovanni Beltrame Modeling Assembly Instruction Timing in Superscalar Architectures. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF assembly-level analysis, performance estimation, superscalar architectures
19Shyh-Kwei Chen, W. Kent Fuchs Compiler-Assisted Multiple Instruction Word Retry for VLIW Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compilers, Fault-tolerant computing, instruction level parallelism, VLIW architectures, instruction retry
19Alencar de Melo Jr., Juan Manuel Adán Coello Packet Scheduling Based on Learning in the Next Generation Internet Architectures. Search on Bibsonomy ISCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF new Internet architectures, quality of service, machine learning, fuzzy control, packet scheduling
19Nimish Doshi Object Databases and Multi-Tier Architectures. Search on Bibsonomy TOOLS (26) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Multi-tier Arcitiectures, Object Databases and Multi-Tier Architectures, Object Databases
19Edward D. Moreno, Sergio Takeo Kofuji Efficiency of remote access caches in future SMP-based CC-NUMA multiprocessors: initial results. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shared remote access cache, future SMP based CC-NUMA multiprocessors, symmetric multiprocessor nodes, future architectures, realistic hardware parameters, state of the art systems components, SPLASH-2 benchmark suite, performance application, baseline architecture, approach-1, slow network, approach-2, fast network, 32-processor system, four-processor SMP nodes, two-processor SMP nodes, multiprocessing systems, execution time, cost effectiveness
19T. C. Nicholas Graham, Tore Urnes Linguistic Support for the Evolutionary Design of Software Architectures. Search on Bibsonomy ICSE The full citation details ... 1996 DBLP  BibTeX  RDF Clock-Works programming environment, architecture language, automatic message routing, constraint-based communication, multiuser applications, restricted scoping, visual syntax, software engineering, interactive, software architectures, programming environments, interactive systems, Clock, high level languages
19Leilei Song, Keshab K. Parhi Efficient Finite Field Serial/Parallel Multiplication. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF finite field serial/parallel multiplication, finite field arithmetic architectures, bit-serial/parallel finite field multiplier, standard basis representation, optimal primitive polynomials, minimum hardware complexity, semi-systolic architecture, computational complexity, cryptography, cryptography, encoding, digital arithmetic, polynomials, multiplying circuits, VLSI implementation, coding theory
19Guido Araujo, Sharad Malik Optimal code generation for embedded memory non-homogeneous register architectures. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF TMS320C25 processor, [1, /spl infin/] model, embedded memory nonhomogeneous register architectures, expression trees, optimal code generation, register transfer graph, scheduling, computational complexity, graph theory, optimisation, computer architecture, register allocation, processor scheduling, storage allocation, microprocessor chips, instruction sets, instruction set architecture, sufficient conditions, instruction selection, structural representation
19Catherine H. Gebotys, Robert J. Gebotys Optimized mapping of video applications to hardware-software for VLSI architectures. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integer optimization, video computations, video systems, optimized mapping, scheduling, VLSI, optimisation, VLSI architectures, video signal processing, VLIW processor
19Hermann Kopetz Why time-triggered architectures will succeed in large hard real-time systems. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF large hard real-time systems, encapsulated subsystems, temporal firewalls, sparse time base, replica determinism, time-triggered communication protocol, real-time systems, computational complexity, computer architecture, design principles, time-triggered architectures
19Philip S. Yu, Asit Dan Performance Evaluation of Transaction Processing Coupling Architectures for Handling System Dynamics. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF shared memorysystems, transaction processing coupling architectures, systemdynamics, shared disk architecture, shared intermediatememory architecture, performance evaluation, performance evaluation, fault tolerant computing, transaction processing, shared nothing architecture
19Alex Delis, Nick Roussopoulos Performance Comparison of Three Modern DBMS Architectures. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF DBMS architectures, software architecture configurations, RAD-UNIFY type, functional components, performance evaluation, software engineering, database management systems, local area networks, simulation results, simulation models, design rationales, workstations, client-server
19Branislav Meandzija Archetype: A Unified Method for the Design and Implementation of Protocol Architectures. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF data-driven concurrent execution model, abstract protocol architecture specifications, performance constraints, X.25-level 3-like protocol, protocols, data structures, data structures, natural languages, automatic programming, automatic programming, protocol design, automated design, multiprocessing programs, simulation languages, protocol architectures, specification technique, Archetype
19Nadathur Satish, Changkyu Kim, Jatin Chhugani, Anthony D. Nguyen, Victor W. Lee, Daehyun Kim 0001, Pradeep Dubey Fast sort on CPUs and GPUs: a case for bandwidth oblivious SIMD sort. Search on Bibsonomy SIGMOD Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF merge network, tlp, performance, databases, sorting, buffer, merge, many-core, simd, radix
19Jan Hoogerbrugge, Henk Corporaal Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Matthias Galster Describing variability in service-oriented software product lines. Search on Bibsonomy ECSA Companion Volume The full citation details ... 2010 DBLP  DOI  BibTeX  RDF modeling, service-oriented architectures, variability
18Bita Gorjiara, Daniel Gajski Automatic architecture refinement techniques for customizing processing elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GNR, nanocoded architectures, no-instruction-set computer (NISC), refinement, high-level synthesis, power, ASIP, datapath, netlist
18Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Data communications devices, application studies resulting in better multiple-processor systems, reconfigurable hardware, wireless systems, special-purpose and application-based systems, adaptable architectures, heterogeneous (hybrid) systems, design studies, signal processing systems
18Jun Han TRAM: A Tool for Requirements and Architecture Management. Search on Bibsonomy ACSC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF system architectures, Requirements management, software engineering tools
18Kemal Efe, Antonio Fernández 0001 Mesh-Connected Trees: A Bridge Between Grids and Meshes of Trees. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel algorithms, grids, interconnection networks, Parallel architectures, hypercubes, binary tree, graph embedding, product networks, mesh of trees
18José Monteiro 0001, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh Optimization of combinational and sequential logic circuits for low power using precomputation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic circuits, low power optimisation, logic optimization technique, precomputation architectures, logic synthesis methods, transmission gates, transparent latches, switching activity reduction, power dissipation reduction, VLSI, logic design, sequential circuits, combinational circuits, integrated circuit design, CMOS logic circuits, circuit optimisation, precomputation, combinational logic circuits, clock cycle
18Sébastien Bilavarn Modélisation, Conception Système d'Architectures Hétérogènes pour les Applications Embarquées : Eléments d'amélioration de l'efficacité énergétique des systèmes sur puce de silicium. (Modelling, Design of Heterogenous architectures for embedded Applications / Modelling, Design of Heterogenous architectures for embedded Applications : Refinement parts about power efficiency of silicon chips systems). Search on Bibsonomy 2018   RDF
18Eleni Kanellou Data Structures for Current Multi-core and Future Many-core Architectures. (Structures de données pour des architectures multi-cœur actuelles et de futures architectures many-cœur). Search on Bibsonomy 2015   RDF
18Steffen Becker 0001, Frantisek Plásil, Ralf H. Reussner (eds.) Quality of Software Architectures. Models and Architectures, 4th International Conference on the Quality of Software-Architectures, QoSA 2008, Karlsruhe, Germany, October 14-17, 2008. Proceedings Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Ales Plsek, Jirí Adámek Carmen: Software Component Model Checker. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18J. Andrés Díaz Pace, Hyunwoo Kim, Len Bass, Philip Bianco, Felix Bachmann Integrating Quality-Attribute Reasoning Frameworks in the ArchE Design Assistant. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Architecture-based analysis & design, ArchE, quality attributes, design assistance
18Bas van der Raadt, Hans van Vliet Designing the Enterprise Architecture Function. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Organizational, Management, Enterprise Architecture, Governance, Function, Reference Model, Conformance
18Julien Mallet, Siegfried Rouvrais Style-Based Model Transformation for Early Extrafunctional Analysis of Distributed Systems. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Vittorio Cortellessa, Pierluigi Pierini, Romina Spalazzese, Alessio Vianale MOSES: MOdeling Software and platform architEcture in UML 2 for Simulation-based performance analysis. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, UML, Software Performance, Resource Modeling
18Guillaume Waignier, Anne-Françoise Le Meur, Laurence Duchien Architectural Specification and Static Analyses of Contractual Application Properties. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Antony Tang, Minh H. Tran, Jun Han 0004, Hans van Vliet Design Reasoning Improves Software Design Quality. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design Reasoning, Usability, Software Architecture Design
18Danilo Ardagna, Carlo Ghezzi, Raffaela Mirandola Rethinking the Use of Models in Software Architecture. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Larix Lee, Philippe Kruchten A Tool to Visualize Architectural Design Decisions. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Gabriel A. Moreno, Paulo Merson Model-Driven Performance Analysis. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Anton Jansen, Tjaard de Vries, Paris Avgeriou, Martijn van Veelen Sharing the Architectural Knowledge of Quantitative Analysis. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Frank Salger, Marcel Bennicke, Gregor Engels, Claus Lewerentz Comprehensive Architecture Evaluation and Management in Large Software-Systems. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Stefano Gallotti, Carlo Ghezzi, Raffaela Mirandola, Giordano Tamburrelli Quality Prediction of Service Compositions through Probabilistic Model Checking. Search on Bibsonomy QoSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Samy Meftali Exploration d'architectures et allocation/affectation mémoire dans les systèmes multiprocesseurs mono puce = Architectures exploration and memory allocation/assignment in multiprocessor SoC. (Architectures exploration and memory allocation/assignment in multiprocessor SoC). Search on Bibsonomy 2002   RDF
18Alireza Amirshahi, Giovanni Ansaloni, David Atienza Accelerator-Driven Data Arrangement to Minimize Transformers Run-Time on Multi-Core Architectures. Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Mohammed Bey Ahmed Khernache, Jalil Boukhobza, Yahia Benmoussa, Daniel Ménard Energy-Aware HEVC Software Decoding On Mobile Heterogeneous Multi-Cores Architectures. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Antonino Tumeo, Nicolas Bohm Agostini, Serena Curzel, Ankur Limaye, Cheng Tan 0002, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Ang Li 0006, Joseph B. Manzano SO(DA)2: End-to-end Generation of Specialized Reconfigurable Architectures (Invited Talk). Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin J. M. Martin, Benoît Dupont de Dinechin, Jean-François Nezan Embedded Runtime for Reconfigurable Dataflow Graphs on Manycore Architectures. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Carsten Lucke, Markus May, Nane Kratzke, Ulrike Lechner How Do System and Enterprise Architectures Influence Knowledge Management in Software Projects? - An Explorative Study of Six Software Projects. Search on Bibsonomy EMISA The full citation details ... 2009 DBLP  BibTeX  RDF
18Sabine Buckl, Alexander M. Ernst, Josef Lankes, Christian M. Schweda, André Wittenburg Generating Visualizations of Enterprise Architectures using Model Transformations. Search on Bibsonomy EMISA The full citation details ... 2007 DBLP  BibTeX  RDF
18Antonia Bertolino, Paola Inverardi, Henry Muccini Formal Methods in Testing Software Architectures. Search on Bibsonomy SFM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18David F. Snelling, Gregory K. Egan A Comparative Study of Data-Flow Architectures. Search on Bibsonomy IFIP PACT The full citation details ... 1994 DBLP  BibTeX  RDF
18Rainer Leupers, Wolfgang Schenk, Peter Marwedel Microcode Generation for Flexible Parallel Target Architectures. Search on Bibsonomy IFIP PACT The full citation details ... 1994 DBLP  BibTeX  RDF
18Hiecheol Kim, Jean-Luc Gaudiot Exploitation of Fine-grain Parallelism in Logic Languages on Massively Parallel Architectures. Search on Bibsonomy IFIP PACT The full citation details ... 1994 DBLP  BibTeX  RDF
18Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau Partitioning of Variables for Multiple-Register-File Architectures via Hypergraph Coloring. Search on Bibsonomy IFIP PACT The full citation details ... 1994 DBLP  BibTeX  RDF
18Michel Weinfeld Integrated artificial neural networks: components for higher level architectures with new properties. Search on Bibsonomy NATO Neurocomputing The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Pierre Peretto, Robert Van Zurk, André Mougin, Christian Gamrat The Semi-Parallel Architectures of Neuro-Computers. Search on Bibsonomy NATO Neurocomputing The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Jan Müller 0001, Dirk Fimmel, Renate Merker Exploitation of Instruction-Level Parallelism for Optimal Loop Scheduling. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Motonobu Fujita, Masaaki Kondo, Hiroshi Nakamura Data Movement Optimization for Software-Controlled On-Chip Memory. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Cool-Fetch: A Compiler-Enabled IPC Estimation Based Framework for Energy Reduction. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Pramod Ramarao, Akhilesh Tyagi An Adiabatic Framework for a Low Energy µ-Architecture & Compiler. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Romain Rouvoy, Paolo Barone, Yun Ding, Frank Eliassen, Svein O. Hallsteinsen, Jorge Lorenzo, Alessandro Mamelli, Ulrich Scholz MUSIC: Middleware Support for Self-Adaptation in Ubiquitous and Service-Oriented Environments. Search on Bibsonomy Software Engineering for Self-Adaptive Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Adaptation planning, service-oriented architectures, self-adaptation, component-based architectures
Displaying result #901 - #1000 of 51597 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license