The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for datapath with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (20) 1991-1993 (19) 1994-1995 (35) 1996 (22) 1997 (24) 1998 (29) 1999 (34) 2000 (59) 2001 (31) 2002 (51) 2003 (76) 2004 (65) 2005 (65) 2006 (68) 2007 (72) 2008 (69) 2009 (39) 2010 (22) 2011 (15) 2012 (15) 2013 (17) 2014 (23) 2015 (21) 2016 (21) 2017 (15) 2018-2019 (27) 2020-2021 (18) 2022-2023 (31) 2024 (5)
Publication types (Num. hits)
article(262) book(1) inproceedings(738) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 689 occurrences of 454 keywords

Results
Found 1008 publication records. Showing 1008 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Marcos Ferretti, Peter A. Beerel Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9José M. Mendías, Román Hermida, María C. Molina, Olga Peñalba Efficient Verification of Scheduling, Allocation and Binding in High-Level Synthesis. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Oswaldo Cadenas, Graham M. Megson A Clocking Technique with Power Savings in Virtex-Based Pipelined Designs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Dmitry Cheresiz, Ben H. H. Juurlink, Stamatis Vassiliadis, Harry A. G. Wijshoff Performance Scalability of Multimedia Instruction Set Extensions. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Aristidis Sotiropoulos, Georgios Tsoukalas, Nectarios Koziris Enhancing the Performance of Tiled Loop Execution onto Clusters Using Memory Mapped Network Interfaces and Pipelined Schedules. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Memory Mapped Interfaces, Zero-Copy Protocols, DMA transfers, Loop Tiling, Communication Overlapping
9Carles Rodoreda Sala, Natalino G. Busá A Run-Time Word-Level Reconfigurable Coarse-Grain Functional Unit for a VLIW Processor. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLIW processors, reconfigurable logic, architectural synthesis
9Juan Carlos López 0001, Fernando Rincón, Francisco Moya, José Manuel Moya Improving Embedded System Design by Means of HW-SW Compilation on Reconfigurable Coprocessors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable datapaths, hardware-software codesign
9Chao Huang, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha High-level synthesis of distributed logic-memory architectures. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Weiping Liao, Joseph M. Basile, Lei He 0001 Leakage power modeling and reduction with data retention. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Maria Athanasaki, Aristidis Sotiropoulos, Georgios Tsoukalas, Nectarios Koziris A Pipelined Execution of Tiled Nested Loops on SMPs with Computation and Communication Overlapping. Search on Bibsonomy ICPP Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Karim Ben Chehida, Michel Auguin HW / SW partitioning approach for reconfigurable system design. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF genetic algorithm, clustering, dynamic reconfiguration, codesign, HW/SW partitioning
9Oguz Ergin, Kanad Ghose, Gurhan Kucuk, Dmitry Ponomarev 0001 A Circuit-Level Implementation of Fast, Energy-Efficient CMOS Comparators for High-Performance Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Hyun-Gyu Kim, Hyeong-Cheol Oh Efficient Hardware Multiplicative Inverters. Search on Bibsonomy ICISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Koji Ohashi, Mineo Kaneko Heuristic assignment-driven scheduling for data-path synthesis. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Chang Yong Kang, Earl E. Swartzlander Jr. An Analysis of the CORDIC Algorithm for Direct Digital Frequency Synthesis. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Anna Antola, Mariagiovanna Sami, Vincenzo Piuri On-line Diagnosis and Reconfiguration of FPGA Systems. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-line detection, fault tolerance, FPGA, reconfiguration, diagnosis
9Raj Krishnamurthy, Sudhakar Yalamanchili, Karsten Schwan, Richard West Architecture and Hardware for Scheduling Gigabit Packet Streams. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Tomás Bautista, Antonio Núñez Quantitative study of the impact of design and synthesis options on processor core performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wu Ye Influence of compiler optimizations on system power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Oliver Chiu-sing Choy, Jan Butas, Juraj Povazanec, Cheong-Fat Chan A New Control Circuit for Asynchronous Micropipelines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF zero-overhead, dual-rail coding, Asynchronous design, micropipeline
9HyungWon Kim 0001, John P. Hayes Realization-independent ATPG for designs with unimplemented blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Sanghun Park, Kiyoung Choi Performance-driven high-level synthesis with bit-level chaining andclock selection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Ben H. H. Juurlink, Stamatis Vassiliadis, Dmitri Tcheressiz, Harry A. G. Wijshoff Implementation and Evaluation of the Complex Streamed Instruction Set. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Reiner W. Hartenstein Reconfigurable Computing: A New Business Model and its Impact on SoC Design. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9W. J. Bainbridge, Stephen B. Furber Delay Insensitive System-on-Chip Interconnect using 1-of-4 Data Encoding. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Mei-Yun Hsu, Hao-Chieh Chang, Yi-Chu Wang, Liang-Gee Chen Scalable module-based architecture for MPEG-4 BMA motion estimation. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Sheng Sun, Larry McMurchie, Carl Sechen A High-Performance 64-bit Adder Implemented in Output Prediction Logic. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Azeez J. Bhavnagarwala, Blanca Austin, Keith A. Bowman, James D. Meindl A minimum total power methodology for projecting limits on CMOS GSI. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Sequential Fault Modeling and Test Pattern Generation for CMOS Iterative Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Sequential fault modeling, test pattern generation, robust testing, iterative logic arrays
9Tomás Lang, Elisardo Antelo CORDIC-Based Computation of ArcCos. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Shiro Kobayashi, Gerhard P. Fettweis A Hierarchical Block-Floating-Point Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Michael Münch, Norbert Wehn, Bernd Wurth, Renu Mehra, Jim Sproch Automating RT-Level Operand Isolation to Minimize Power Consumption in Datapaths. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Jens Schönherr, Bernd Straube Automatic Equivalence Check of Circuit Descriptions at Clocked Algorithmic and Register Transfer Level. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Xianfeng Zhou, Margaret Martonosi Augmenting Modern Superscalar Architectures with Configurable Extended Instructions. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9R. Anand, Margarida F. Jacome, Gustavo de Veciana Heuristic tradeoffs between latency and energy consumption in register assignment. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Henry Styles, Wayne Luk Customizing Graphics Applications: Techniques and Programming Interface. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Cameron Patterson High Performance DES Encryption in Virtex(tm) FPGAs Using Jbits(tm). Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Pedro C. Diniz, Joonseok Park Automatic Synthesis of Data Storage and Control Structures for FPGA-Based Computing Engines. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA-based reconfigurable computing architectures, data queues, compilation, program analysis
9Michael J. Wirthlin, Steve Morrison, Paul S. Graham, Brian Bray Improving the Performance and Efficiency of an Adaptive Amplification Operation Using Configurable Hardware. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Natalino G. Busá, Albert van der Werf, Marco Bekooij Scheduling Coarse-Grain Operations for VLIW Processors. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Kamal S. Khouri, Niraj K. Jha Leakage Power Analysis and Reduction during Behavioral Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Larry McMurchie, Su Kio, Gin Yee, Tyler Thorp, Carl Sechen Output Prediction Logic: A High-Performance CMOS Design Technique. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Gin Yee, Tyler Thorp, Ron Christopherson, Ban P. Wang, Carl Sechen An Automated Shielding Algorithm and Tool For Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Mark D. Aagaard, Robert B. Jones, Thomas F. Melham, John W. O'Leary, Carl-Johan H. Seger A Methodology for Large-Scale Hardware Verification. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Russell E. Henning, Chaitali Chakrabarti Relating Data Characteristics to Transition Activity in High-Level Static CMOS Design. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF statistical parameters, high-level synthesis, Low power design, data models, transition activity
9Cameron Patterson A Dynamic FPGA Implementation of the Serpent Block Cipher. Search on Bibsonomy CHES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye Energy-driven integrated hardware-software optimizations using SimplePower. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF energy optimization and estimation, energy simulator, hardware-software interaction, system energy, compiler optimizations, low-power architectures
9Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wu Ye Influence of compiler optimizations on system power. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9William J. Dally, Andrew Chang 0001 The role of custom design in ASIC Chips. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Laurence Goodby, Alex Orailoglu Redundancy and testability in digital filter datapaths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Supratik Chakraborty, Kenneth Y. Yun, David L. Dill Timing analysis of asynchronous systems using time separation of events. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Nikolos, Constantin Halatsis An Accumulator-Based BIST Approach for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF stuck-open fault testing, built-in self test, delay fault testing, two-pattern testing
9Antonis M. Paschalis, Nektarios Kranitis, Mihalis Psarakis, Dimitris Gizopoulos, Yervant Zorian An Effective BIST Architecture for Fast Multiplier Cores. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Jaan Raik, Raimund Ubar Sequential Circuit Test Generation Using Decision Diagram Models. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Stefan Höreth, Rolf Drechsler Formal Verification of Word-Level Specifications. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Mike J. G. Lewis, Jim D. Garside, L. E. M. Brackenbury Reconfigurable Latch Controllers for Low Power Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Jon Tyler, Jeff Lent, Anh Mather, Huy Nguyen AltiVecTM: bringing vector technology to the PowerPCTM processor family. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9François Pêcheux, Yannick Hervé DIPS for MIPS: An Instrumented VHDL/Corba Kernel for Distributed Learning in EECS. Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Andrew A. Chien, Jay H. Byun Safe and Protected Execution for the Morph/AMRM Reconfigurable Processor. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiprocess Protection, Process isolation, Machine Virtualization, Adaptive Computing, Reconfigurable Processor
9Kei-Yong Khoo, Zhan Yu, Alan N. Willson Jr. Bit-level arithmetic optimization for carry-save additions. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Bulent Basaran, Kiran Ganesh, Raymond Y. K. Lau, Artour Levin, Miles McCoo, Srinivasan Rangarajan, Naresh Sehgal GeneSys: A Leaf-Cell Layout Synthesis System for GHz VLSI Designs. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Avinash K. Gautam, Jagdish C. Rao, Rohit Rathi, H. Udayakumar A Design-in Methodology to Ensure First Time Success of Complex Digital Signal Processors. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Ingrid Verbauwhede, Mihran Touriguian A Low Power DSP Engine for Wireless Communications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Thomas Müller-Wipperfürth, Richard Hagelauer Graphical Entry of FSMDs Revisited: Putting Graphical Models on a Solid Base. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FSMD, VHDL, Statecharts, Graphical Modelling
9Wei Zhao, Christos A. Papachristou Testing DSP Cores Based on Self-Test Programs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Isidoro Urriza, José Ignacio Artigas, José I. García-Nicolás, Luis Angel Barragan, Denis Navarro VLSI Architecture for Lossless Compression of Medical Images Using the Discrete Wavelet Transform. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF DWT, VLSI architectures, Medical Image compression
9José Carlos Alves, José Silva Matos RVC - A Reconfigurable Coprocessor for Vector Processing Applications. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Apostolos Dollas, Euripides Sotiriades, Apostolos Emmanouelides Architecture and Design of GE1, a FCCM for Golomb Ruler Derivation. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGA, Architecture, Custom, Golomb Ruler
9Philip Heng Wai Leong, P. K. Tsang, T. K. Lee A FPGA Based Forth Microprocessor. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Azeez J. Bhavnagarwala, Blanca Austin, James D. Meindl Minimum supply voltage for bulk Si CMOS GSI. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Miroslav N. Velev, Randal E. Bryant Efficient Modeling of Memory Arrays in Symbolic Ternary Simulation. Search on Bibsonomy TACAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Farzan Fallah, Srinivas Devadas, Kurt Keutzer Functional Vector Generation for HDL Models Using Linear Programming and 3-Satisfiability. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
9Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey A Methodology for Guided Behavioral-Level Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
9Patrick Schaumont, Bart Vanthournout, Ivo Bolsens, Hugo De Man Synthesis of pipelined DSP accelerators with dynamic scheduling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Johannes Kneip, Mladen Berekovic, Jens Peter Wittenburg, Willm Hinrichs, Peter Pirsch An Algorithm Adapted Autonomous Controlling Concept for a Parallel Single-Chip Digital Signal Processor. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Corinna G. Lee, Derek J. DeVries Initial Results on the Performance and Cost of Vector Microprocessors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Carl Ebeling, Darren C. Cronquist, Paul Franklin, Jason Secosky, Stefan G. Berg Mapping applications to the RaPiD configurable architecture. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Hans M. Jacobson, Ganesh Gopalakrishnan Asynchronous Microengines for Efficient High-level Control. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF asynchronous circuits, microprogramming, self-timing
9Tomás Lang, Elisardo Antelo CORDIC-based computation of arccos and arcsin. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Debashis Bhattacharya, Smith Freeman, Bill Lin 0002 Optimizing Test Hardware for At-Speed Testing of Datapaths in an Integrated Circuit. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Pradip K. Jha, Nikil D. Dutt High-level library mapping for arithmetic components. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Ravichandran Ramachandran, Shih-Lien Lu Efficient arithmetic using self-timing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9En-Shou Chang, Daniel Gajski, Sanjiv Narayan An optimal clock period selection method based on slack minimization criteria. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clock slack, scheduling, performance estimation, clock period
9Saman Adham, Sanjay Gupta DP-BIST: A Built-In Self Test For DSP DataPaths A Low Overhead and High Fault Coverage Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Tommy King-Yin Cheung, Graham R. Hellestrand, Prasert Kanthamanon A Multi-Level Transformation Approach to HW/SW Codesign: A Case Study. Search on Bibsonomy CODES The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Design Transformations, Functional Languages
9Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Low power realization of FIR filters using multirate architectures. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power realization, multirate architectures, computationally efficient implementations, power dissipation reduction, dedicated ASIC implementation, TMS320C2x/C5x programmable DSP, computational complexity, computational complexity, application specific integrated circuits, power analysis, digital filters, FIR filters, FIR filters, digital signal processing chips
9Tom Lovett, Russell M. Clapp STiNG: A CC-NUMA Computer System for the Commercial Marketplace. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Paul E. Landman, Jan M. Rabaey Architectural power analysis: The dual bit type method. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Anantha P. Chandrakasan, Miodrag Potkonjak, Renu Mehra, Jan M. Rabaey, Robert W. Brodersen Optimizing power using transformations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9D. K. Arvind 0001, Robert D. Mullins, Vinod E. F. Rebello Micronets: a model for decentralising control in asynchronous processor architectures. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF decentralising control, asynchronous processor architectures, micronets, communicating resources, four-phase protocol, hazard avoidance mechanisms, SPICE-level simulations, computer architecture, computer architecture, pipeline processing, processor architectures, fine-grain concurrency
9J. Arjun Prabhu, Gregory B. Zyner 167 MHz Radix-8 Divide and Square Root Using Overlapped Radix-2 Stages. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Robert B. Jones, David L. Dill, Jerry R. Burch Efficient validity checking for processor verification. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF formal verification, validation, decision procedure, uninterpreted functions, microprocessor architecture
9Rahul Razdan, Michael D. Smith 0001 A high-performance microarchitecture with hardware-programmable functional units. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF automatic instruction set design, compile-time optimization, general-purpose microarchitectures, logic synthesis, programmable logic
9R. Milikowski, Willem G. Vree Non-homogeneous Parallel Memory Operations in a VLIW Machine. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Heinz-Josef Eikerling, Ralf Hunstock, Raul Camposano Optimization of hierarchical designs using partitioning and resynthesis. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Sanjay Gupta, Janusz Rajski, Jerzy Tyszer Test pattern generation based on arithmetic operations. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Joseph Varghese, Michael Butts, Jon Batcheller An efficient logic emulation system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential test generation and synthesis for testability at the register-transfer and logic levels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1008 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license