The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1989 (19) 1990-1991 (15) 1992 (16) 1993-1994 (54) 1995 (28) 1996 (38) 1997 (32) 1998 (30) 1999 (53) 2000 (92) 2001 (101) 2002 (169) 2003 (179) 2004 (184) 2005 (242) 2006 (264) 2007 (233) 2008 (212) 2009 (148) 2010 (100) 2011 (88) 2012 (79) 2013 (98) 2014 (94) 2015 (119) 2016 (98) 2017 (105) 2018 (88) 2019 (97) 2020 (78) 2021 (77) 2022 (57) 2023 (60) 2024 (10)
Publication types (Num. hits)
article(909) book(4) incollection(4) inproceedings(2380) phdthesis(37) proceedings(23)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1863 occurrences of 889 keywords

Results
Found 3357 publication records. Showing 3357 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Olivier Aumage, Rutger F. H. Hofman, Henri E. Bal NETIBIS: an efficient and dynamic communication system for heterogeneous grids. Search on Bibsonomy CCGRID The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Shang-Wei Tu, Jing-Yang Jou, Yao-Wen Chang RLC coupling-aware simulation for on-chip buses and their encoding for delay reduction. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Stefano Grivet-Talocia, Igor S. Stievano, Ivan A. Maio, Flavio G. Canavero Linear and nonlinear macromodels for power/signal integrity. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Meigen Shen, Li-Rong Zheng 0001, Esa Tjukanoff, Jouni Isoaho, Hannu Tenhunen Case study of interconnect analysis for standing wave oscillator design. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Amitava Bhaduri, Ranga Vemuri Moment-driven coupling-aware routing methodology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF coupling-aware, routing, moments
14Kevin J. Barker, Alan F. Benner, Raymond R. Hoare, Adolfy Hoisie, Alex K. Jones, Darren J. Kerbyson, Dan Li, Rami G. Melhem, Ramakrishnan Rajamony, Eugen Schenfeld, Shuyi Shao, Craig B. Stunkel, Peter Walker On the Feasibility of Optical Circuit Switching for High Performance Computing Systems. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Dennis Dalessandro, Pete Wyckoff A Performance Analysis of the Ammasso RDMA Enabled Ethernet Adapter and its iWARP API. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Earl E. Swartzlander Jr. Three Dimensional System on Chip Technology, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Quming Zhou, Kartik Mohanram, Athanasios C. Antoulas Structure preserving reduction of frequency-dependent interconnect. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, model-order reduction, skin effect
14Magdy A. El-Moursy, Eby G. Friedman Power characteristics of inductive interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu Nontree routing for reliability and yield improvement [IC layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Akira Tsuchiya, Masanori Hashimoto, Hidetoshi Onodera Representative frequency for interconnect R(f)L(f)C extraction. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Nikhil Bansal 0003, Sumit Gupta, Nikil D. Dutt, Alexandru Nicolau, Rajesh K. Gupta 0001 Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Weikuan Yu, Darius Buntinas, Richard L. Graham, Dhabaleswar K. Panda 0001 Efficient and Scalable Barrier over Quadrics and Myrinet with a New NIC-Based Collective Message Passing Protocol. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Vikas Chandra, Herman Schmit, Anthony Xu, Lawrence T. Pileggi A power aware system level interconnect design methodology for latency-insensitive systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Chanhee Oh, Haldun Haznedar, Martin Gall, Amir Grinshpon, Vladimir Zolotov, Pon Sung Ku, Rajendran Panda A Methodology for Chip-Level Electromigration Risk Assessment and Product Qualification. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Amit Singh 0001, Arindam Mukherjee 0001, Luca Macchiarulo, Malgorzata Marek-Sadowska PITIA: an FPGA for throughput-intensive applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang Noise-aware interconnect power optimization in domino logic synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Giuseppe Ciaccio Messaging on Gigabit Ethernet: Some Experiments with GAMMA and Other Systems. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance evaluation, MPI, message passing, cluster computing, Gigabit Ethernet, low-latency communication
14Grzegorz Tosik, Frédéric Gaffiot, Zbigniew Lisik, Ian O'Connor, Faress Tissafi-Drissi Optical versus Electrical Interconnections for Clock Distribution Networks in New VLSI Technologies. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Mario R. Casu, Mariagrazia Graziano, Gianluca Piccinini, Guido Masera, Maurizio Zamboni Effects of Temperature in Deep-Submicron Global Interconnect Optimization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Srividya Srinivasaraghavan, Wayne P. Burleson Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Michael Bedford Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14José Duato, Olav Lysne, Timothy Mark Pinkston, Hermann Hellwagner Topic Introduction. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Jan-Jan Wu, Da-Wei Wang 0004, Yih-Fang Lin Placement of I/O servers to improve parallel I/O performance on switch-based clusters. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF part-time I/O assignment, switch-based clusters, parallel I/O, bipartite matching
14Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSM busses, error-correction, crosstalk noise, bus encoding
14Qinwei Xu, Pinaki Mazumder Efficient interconnect modeling by Finite Difference Quadrature methods. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Wendemagegnehu T. Beyene, Chuck Yuan, Joong-Ho Kim, Madhavan Swaminathan Modeling and Analysis of Power Distribution Networks for Gigabit Applications. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Macro-modeling, reduced-order modeling and transmission matrix method, power distribution network
14Mehdi Baradaran Tahoori, Subhasish Mitra Automatic Configuration Generation for FPGA Interconnect Testing. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Lee Whetsel Adapting JTAG for AC Interconnect Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Tomokazu Yoneda, Tetsuo Uchiyama, Hideo Fujiwara Area and Time Co-Optimization for System-on-a-Chip based on Consecutive Testability. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF design for testability, system-on-a-chip, test scheduling, test access mechanism, consecutive testability
14Chung-Seok (Andy) Seo, Abhijit Chatterjee Free-Space Optical Interconnect for High-Performance MCM Systems. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Jason Cong, Xin Yuan 0005 Multilevel global placement with retiming. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF physical hierarchy, placement, retiming, deep sub-micron
14Mehrdad Nourani, Amir Attarha Signal Integrity: Fault Modeling and Testing in High-Speed SoCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrity fault, integrity loss, transfer function matrix, built-in self-test, system-on-chip, test pattern generation, signal integrity, model order reduction, interconnect testing, skew detection, noise detection, high-speed interconnect
14Tomokazu Yoneda, Hideo Fujiwara Design for Consecutive Testability of System-on-a-Chip with Built-In Self Testable Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF consecutive transparency, built-in self test, design for testability, system-on-a-chip, test access mechanism, consecutive testability
14Vikas Chandra, Herman Schmit Simultaneous Optimization of Driving Buffer and Routing Switch Sizes in an FPGA using an Iso-Area Approach. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA Interconnect
14Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu Non-tree routing for reliability and yield improvement. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Seung Hoon Choi, Kaushik Roy 0001 Noise Analysis under Capacitive and Inductive Coupling for High Speed Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Crosstalk, Inductance, Capacitance, Noise Analysis, Noise Margin, High Speed Circuit
14Seung Hoon Choi, Bipul Chandra Paul, Kaushik Roy 0001 Dynamic Noise Analysis with Capacitive and Inductive Coupling. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitiance, dynamic noise margin, crosstalk, inductance, noise analysis, deep submicron, noise model
14Jun Chen 0008, Lei He 0001 Determination of worst-case crosstalk noise for non-switching victims in GHz+ buses. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect design
14Yuanyuan Zhou 0001, Kai Li 0001, Angelos Bilas, Suresh Jagannathan, Cezary Dubnicki, James Philbin Experiences with VI Communication for Database Storage. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cluster-based storage, Database storage, Virtual Interface Architecture, processor overhead, Storage system, User-level Communication, storage area network
14Phillip J. Restle, Albert E. Ruehli, Steven G. Walker, George Papadopoulos Full-wave PEEC time-domain method for the modeling of on-chipinterconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Courtney R. Gibson, Angelos Bilas Shared Virtual Memory Clusters with Next-Generation Interconnection Networks and Wide Compute Nodes. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Tomokazu Yoneda, Hideo Fujiwara A DFT Method for Core-Based Systems-on-a-Chip Based on Consecutive Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF consecutive transparency, core-based systems-on-a-chip, design for testability, test access mechanism, consecutive testability
14Wei-Cheng Lai, Jing-Reng Huang, Kwang-Ting (Tim) Cheng Embedded-Software-Based Approach to Testing Crosstalk-Induced Faults at On-Chip Buses. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang Noise-aware power optimization for on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Shen Lin, Norman Chang, O. Sam Nakagawa Quick On-Chip Self- and Mutual-Inductance Screen. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF inductance screening, significant frequency, inductive coupling and inductive modeling, signal integrity
14Srikanth Venkataraman, Scott Brady Drummonds A Technique for Logic Fault Diagnosis of Interconnect Open Defects. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Diagnosis and Debugging, Logic Fault Diagnosis, Interconnect Open Defects, Fault Modeling and Simulation, Dynamic Diagnosis
14Susumu Horiguchi, Takayuki Ooki Hierarchical 3D-Torus Interconnection Network. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Qingjian Yu, Janet Meiling Wang, Ernest S. Kuh Passive model order reduction algorithm based on Chebyshev expansion of impulse response of interconnect networks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Janet Meiling Wang, Qingjian Yu, Ernest S. Kuh Coupled Noise Estimation for Distributed RC Interconnect Model. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14James D. Meindl XXI Century Gigascale Integration (GSI) : The Interconnect Problem. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Aurobindo Dasgupta, Ramesh Karri High-reliability, low-energy microarchitecture synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Peter A. Walker, Sumit Ghosh On the nature and inadequacies of transport timing delay constructs in VHDL descriptions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14David C. DiNucci A Simple and Efficient Process and Communication Abstraction for Network Operating Systems. Search on Bibsonomy CANPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Vassilios V. Dimakopoulos, Nikitas J. Dimopoulos Leaf Communications in Complete Trees. Search on Bibsonomy Euro-Par, Vol. I The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Mario Gerla, B. Kannan, Bruce Kwan, Prasasth Palnati, Simon Walton, Emilio Leonardi, Fabio Neri Quality of Service Support in High Speed, Wormhole Routing Networks. Search on Bibsonomy ICNP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Jatan C. Shah, Sachin S. Sapatnekar Wiresizing with Buffer Placement and Sizing for Power-Delay Tradeoffs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, dynamic programming, Interconnect, sensitivity, buffer, sizing, repeaters, drivers
14Jiao Fan, D. Zaleta, Chung-Kuan Cheng, S. H. Lee Physical models and algorithms for optoelectronic MCM layout. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Hsien-Hsin S. Lee Beyond Wires: The Future of Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Scott Levy, Whit Schonbein Special Issue on Hot Interconnects 30. Search on Bibsonomy IEEE Micro The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Junyong Park, Donghyun Kim 0004 Statistical Eye Diagrams for High-Speed Interconnects of Packages: A Review. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Vishal Saxena, Ankur Kumar, Shubham Mishra, Samuel Palermo, Kadaba R. Lakshmikumar Optical Interconnects Using Hybrid Integration of CMOS and Silicon-Photonic ICs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Xiaoxue Yang, Hao Wang, Bing Hu 0002, Chunming Wu 0001 ABOI: AWGR-Based Optical Interconnects for Single-Wavelength and Multi-Wavelength. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Amoldeep Singh, Karmjit Singh Sandha, Mayank Kumar Rai Design and Analysis of Active Feedback RGC-Based Transimpedance Receiver Circuit for Optical Interconnects. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Hansika Weerasena, Prabhat Mishra 0001 Security of Electrical, Optical, and Wireless On-chip Interconnects: A Survey. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Leonhard Hahn, Tim Pfahler, Tobias Bader, Gerald Gold, Martin Vossiek, Christian Carlowitz 3D-Printed Dielectric Image Lines towards Chip-to-Chip Interconnects for subTHz-Applications. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Andrew M. Netherton, Mario Dumont, Zachary Nelson, Jinesh Jhonsa, Alice Mo, Jahyun Koo 0003, David McCarthy, Noah Pestana, Skylar Deckoff-Jones, Christopher V. Poulton, Michael Frankel, Jock Bovington, Luke Theogarajan, John E. Bowers 0001 25.1 Short-Reach Silicon Photonic Interconnects with Quantum Dot Mode Locked Laser Comb Sources. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Jahnvi Singh, Nijwm Wary, Pradip Mandal Use of current-mode and voltage-mode receivers together for on-chip multipoint-to-multipoint data transmission across global interconnects. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Qian Liu, Li Du, Yuan Du A 0.90-Tb/s/in 1.29-pJ/b Wireline Transceiver With Single-Ended Crosstalk Cancellation Coding Scheme for High-Density Interconnects. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Dhiman Kakati, Ramesh Kumar Sonkar Performance of DSP-assisted gray-coded DP-16-QAM Nyquist-DWDM super-channel transceiver for high-speed long-haul optical interconnects. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lizy Kurian John Emerging System Interconnects Enabling More Opportunities Than Ever! Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Scott Levy Special Issue on Hot Interconnects 29. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12John Kim, Nam Sung Kim Special Issue on Emerging System Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Suyash Kushwaha, Avirup Dasgupta, Sourajeet Roy, Rohit Sharma Fast Multi-ANN Composite Models for Repeater Optimization in Presence of Parametric Uncertainty for on-Chip Hybrid Copper-Graphene Interconnects. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Mark Keran, Anestis Dounavis An Analytic RLC Model for Coupled Interconnects Which Uses a Numerical Inverse Laplace Transform. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Prema Kumar Govindaswamy, Raviteja Kammari, Vijaya Sankara Rao Pasupureddi An adaptive link training based hybrid circuit topology for full-duplex on-chip interconnects. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ritika Sharma, Mayank Kumar Rai, Rajesh Khanna Structure optimization: Configuring optimum performance of randomly distributed mixed carbon nanotube bundle interconnects. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Weihao Ni, Fan Li 0011, Wei Wang, Zhibin Luo, Xingwen Yi, Yi Cai, Zhaohui Li Low power consumption reduced state and transition MLSE in optical interconnects. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sergi Abadal, Robert Guirado, Hamidreza Taghvaee, Akshay Jain 0001, Elana Pereira de Santana, Peter Haring Bolívar, Mohamed Saeed, Renato Negra, Zhenxing Wang, Kun-Ta Wang, Max C. Lemme, Joshua Klein, Marina Zapater, Alexandre Levisse, David Atienza, Davide Rossi, Francesco Conti 0001, Martino Dazzi, Geethan Karunaratne, Irem Boybat, Abu Sebastian Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous Multi-Chip Processors. Search on Bibsonomy IEEE Wirel. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zhican Lin, Zhongliang Pan Electrical modeling and transmission performance analysis of carbon nanotube-graphene mixed structure interconnects. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Fa Zou, Zhongliang Pan, Peng Xu Modeling and performance analysis of coupled multilayer graphene nanoribbon (MLGNR) interconnects with intercalation doping. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Gurijala Deepthi, Madhavi Tatineni Crosstalk analysis of dielectric inserted side contact multilayer graphene nanoribbon interconnects for ternary logic system using unconditionally stable FDTD model. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Carmen Mas Machuca, Lena Wosinska, Marco Ruffini, Jiajia Chen 0001 Optical Networks and Interconnects. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Hansika Weerasena, Prabhat Mishra 0001 Security of Electrical, Optical and Wireless On-Chip Interconnects: A Survey. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Tae Yeob Kang, Haebom Lee, Sungho Suh SREL: Severity Rating Ensemble Learning for Non-Destructive Fault Diagnosis of Cu Interconnects using S-parameter Patterns. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Venkata Sai Praneeth Karempudi, Janibul Bashir, Ishan G. Thakkar An Analysis of Various Design Pathways Towards Multi-Terabit Photonic On-Interposer Interconnects. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ruben S. Luis, Benjamin J. Puttnam, Georg Rademacher, Satoshi Shinada, Tetsuya Hayashi, Tetsuya Nakanishi, Yuki Saito, Tetsu Morishima, Hideaki Furukawa Multicore fiber interconnects for multi-terabit spine-leaf datacenter network topologies. Search on Bibsonomy J. Opt. Commun. Netw. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Tun-Yao Hung, Guan-Hong Chen, Yuan-Zeng Lin, Chi-Wai Chow, Yin-He Jian, Pin-Cheng Kuo, Ching-Wei Peng, Jui-Feng Tsai, Yang Liu 0194, Chien-Hung Yeh Wideband and Channel Switchable Mode Division Multiplexing (MDM) Optical Power Divider Supporting 7.682 Tbit/s for On-Chip Optical Interconnects. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Qian Zhang 0092, Sujay Charania, Stefan Rothe, Nektarios Koukourakis, Niels Neumann, Dirk Plettemeier, Jürgen W. Czarske Multimode Optical Interconnects on Silicon Interposer Enable Confidential Hardware-to-Hardware Communication. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sunghyun Bae, Hyeon-June Kim Two-Channel OTDM System for Data-Center Interconnects: A Review. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Liujun Guo, Wenjing Xu, Haiyong Wang Exploiting signal skew to reduce delay uncertainty for chiplet interconnects. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Moshe Nazarathy, Ioannis Tomkos Optical DACs for Ultra-High-Speed Green Photonic Interconnects. Search on Bibsonomy ICTON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Youqi Ding, O. Varela Pedreira, Melina Lofrano, Houman Zahedmanesh, T. Chavez, Hosain Farr, Ingrid De Wolf, Kris Croes Thermomigration-induced void formation in Cu-interconnects - Assessment of main physical parameters. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Y. H. Lin, C. C. Lee, C. Y. Liao, M. H. Lin, W. C. Tu, Robin Chen, H. P. Chen, Winston S. Shue, Min Cao A Novel Methodology to Predict Process-Induced Warpage in Advanced BEOL Interconnects. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12A. S. Saleh, Houman Zahedmanesh, Hajdin Ceric, Ingrid De Wolf, Kris Croes Impact of via geometry and line extension on via-electromigration in nano-interconnects. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jorge Mendoza, Jimmy-Bao Le, Choong-Un Kim, Hung-Yun Lin Advanced Methods of Detecting Physical Damages in Packaging and BEOL Interconnects. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Michitaka Yamamoto, Seiichi Takamatsu, Toshihiro Itoh Stretchable Microscale Patterned Interconnects Formed on Micro-Corrugated Vertical Wavy Structured Substrate. Search on Bibsonomy SENSORS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Po-Yao Chuang, Francesco Lorenzelli, Sreejit Chakravarty, Slimane Boutobza, Cheng-Wen Wu, Georges G. E. Gielen, Erik Jan Marinissen Effective and Efficient Test and Diagnosis Pattern Generation for Many Inter-Die Interconnects in Chiplet-Based Packages. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 3357 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license