The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipeline with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1975 (16) 1976-1978 (16) 1979-1982 (16) 1983-1984 (19) 1985 (17) 1986 (16) 1987 (28) 1988 (43) 1989 (32) 1990 (48) 1991 (21) 1992 (35) 1993 (35) 1994 (50) 1995 (81) 1996 (64) 1997 (93) 1998 (74) 1999 (113) 2000 (112) 2001 (143) 2002 (170) 2003 (232) 2004 (292) 2005 (344) 2006 (416) 2007 (383) 2008 (404) 2009 (327) 2010 (207) 2011 (168) 2012 (184) 2013 (223) 2014 (243) 2015 (254) 2016 (248) 2017 (322) 2018 (397) 2019 (425) 2020 (530) 2021 (695) 2022 (751) 2023 (814) 2024 (194)
Publication types (Num. hits)
article(3792) book(3) data(1) incollection(34) inproceedings(5387) phdthesis(78)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3849 occurrences of 1991 keywords

Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Anh Tuan Hoang, Katsuhiro Yamazaki, Shigeru Oyanagi Multi-stage Pipelining MD5 Implementations on FPGA with Data Forwarding. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hua Bai, Lixin Gao A Vague Sets Based Hierarchical Synthetic Evaluation Algorithm for Health Condition Assessment. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hierarchical synthetic evaluation algorithm, health condition, multi-source information fusion, vague sets
11Manjunath Kudlur, Scott A. Mahlke Orchestrating the execution of stream programs on multicore platforms. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multicore, software pipelining, cell processor, stream programming, streamit
11B. V. N. Silpa, Anjul Patney, Tushar Krishna, Preeti Ranjan Panda, G. S. Visweswaran Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Shengyuan Jiang, Xudong Jiang, Jie Lu, Jianyong Li, Xiangyan Lv Research on a Tri-axial Differential-Drive In-Pipe Robot. Search on Bibsonomy ICIRA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF in-pipe robot, elbow, differential drive, tri-axial differential mechanism
11Michal Plotkowiak, Blanca Rodríguez, Gernot Plank, Jürgen E. Schneider, David Gavaghan, Peter Kohl, Vicente Grau High Performance Computer Simulations of Cardiac Electrical Function Based on High Resolution MRI Datasets. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Takeshi Sugawara 0001, Naofumi Homma, Takafumi Aoki, Akashi Satoh Compact ASIC Architectures for the 512-Bit Hash Function Whirlpool. Search on Bibsonomy WISA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hash function, Hardware architecture, Whirlpool, Cryptographic hardware
11Jeff Pool, Anselmo Lastra, Montek Singh Energy-precision tradeoffs in mobile Graphics Processing Units. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hai Bing Yin, Xi Zhong Lou, Zhe Lei Xia, Wen Gao 0001 An efficient VLSI architecture for rate disdortion optimization in AVS video encoder. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee A hybrid self-testing methodology of processor cores. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Xunying Zhang, Xubang Shen A Power-Efficient Floating-Point Co-processor Design. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Daniel P. Lopresti Optical character recognition errors and their effects on natural language processing. Search on Bibsonomy AND The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance evaluation, optical character recognition, tokenization, part-of-speech tagging, sentence boundary detection
11HongKee Moon, Auguste Genovesio IM.Grid, a Grid computing approach for Image Mining of High Throughput-High Content Screening. Search on Bibsonomy GRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Eui-Young Chung, Cheol Hong Kim, Sung Woo Chung An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF way predictioin, low power, Instruction cache
11Chung-Ho Chen, Chih-Kai Wei, Tai-Hua Lu, Hsun-Wei Gao Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Montek Singh, Steven M. Nowick The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Lydia Ng, Sayan D. Pathak, Chihchau Kuan, Christopher Lau, Hong-wei Dong, Andrew Sodt, Chinh Dang, Brian B. Avants, Paul A. Yushkevich, James C. Gee, David R. Haynor, Ed S. Lein, Allan Jones, Michael Hawrylycz Neuroinformatics for Genome-Wide 3-D Gene Expression Mapping in the Mouse Brain. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Data mining, Information Visualization, Segmentation, Registration, Bioinformatics (genome or protein) databases
11Kuizhi Mei, Nanning Zheng 0001, Chang Huang, Yuehu Liu, Qiang Zeng VLSI Design of a High-Speed and Area-Efficient JPEG2000 Encoder. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Xinyu Zhang 0002, Young J. Kim Interactive Collision Detection for Deformable Models Using Streaming AABBs. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AABB, Collision detection, deformable models, programmable graphics hardware, streaming computations
11Cheng-Yi Xiong, Jin-Wen Tian, Jian Liu 0011 Efficient Architectures for Two-Dimensional Discrete Wavelet Transform Using Lifting Scheme. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Stephen Roderick Hines, Gary S. Tyson, David B. Whalley Addressing instruction fetch bottlenecks by using an instruction register file. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF L0/filter cache, instruction packing, instruction register file
11Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August Speculative Decoupled Software Pipelining. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Biörn Biörnstad, Cesare Pautasso Let It Flow: Building Mashups with Data Processing Pipelines. Search on Bibsonomy ICSOC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Massimo Rovini, Giuseppe Gentile, Francesco Rossi, Luca Fanucci A minimum-latency block-serial architecture of a decoder for IEEE 802.11n LDPC codes. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Qiang Zhu 0008, Aviral Shrivastava, Nikil D. Dutt Interactive presentation: Functional and timing validation of partially bypassed processor pipelines. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Benjamin C. Lee, David M. Brooks Illustrative Design Space Studies with Microarchitectural Regression Models. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Paul Racunas, Kypros Constantinides, Srilatha Manne, Shubhendu S. Mukherjee Perturbation-based Fault Screening. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Gurkan Koldas, Veysi Isler, Rynson W. H. Lau Six Degrees of Freedom Incremental Occlusion Horizon Culling Method for Urban Environments. Search on Bibsonomy ISVC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Mark J. Prusten Motion picture production facility with liquid cooled 512 processor mobile super computing vehicle and virtual reality environment. Search on Bibsonomy VRST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Son Dao Trong, Martin S. Schmookler, Eric M. Schwarz, Michael Kroener P6 Binary Floating-Point Unit. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2007 DBLP  DOI  BibTeX  RDF denormal result handling, aggressive data forwarding, high-frequency design, data processing without stalls, Floating-point unit
11Philip Garcia, Henry F. Korth Pipelined hash-join on multithreaded architectures. Search on Bibsonomy DaMoN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Sheldon Andrews, Jochen Lang 0001 Interactive Scanning of Haptic Textures and Surface Compliance. Search on Bibsonomy 3DIM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Hirofumi Iwato, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai A low power VLIW processor generation method by means of extracting non-redundant activation conditions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power, ASIP, clock gating, VLIW processor
11Andrew Danner, Thomas Mølhave, Ke Yi 0001, Pankaj K. Agarwal, Lars Arge, Helena Mitásová TerraStream: from elevation data to watershed hierarchies. Search on Bibsonomy GIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF terrain modeling
11Carlos D. Correa, Deborah Silver Programmable shaders for deformation rendering. Search on Bibsonomy Graphics Hardware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Magnus Strengert, Thomas Klein, Thomas Ertl A hardware-aware debugger for the OpenGL shading language. Search on Bibsonomy Graphics Hardware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Yong Dou, Jinbo Xu FPGA-Accelerated Active Shape Model for Real-Time People Tracking. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, Active Shape Model, People Tracking
11Taehyun Rhee, John P. Lewis, Ulrich Neumann, Krishna S. Nayak Soft-Tissue Deformation for In Vivo Volume Animation. Search on Bibsonomy PG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Moriyoshi Ohara, Hangu Yeo, Frank Savino, Giridharan Iyengar, Leiguang Gong, Hiroshi Inoue, Hideaki Komatsu, Vadim Sheinin, Shahrokh Daijavad Accelerating Mutual-Information-Based Linear Registration on the Cell Broadband Engine Processor. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Mathys Walma Pipelined Cyclic Redundancy Check (CRC) Calculation. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Haider Ali, Bashir M. Al-Hashimi Architecture Level Power-Performance Tradeoffs for Pipelined Designs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ramin Zanbaghi, Seyed Mojtaba Atarodi, Armin Tajalli A Power Optimized Base-Band Circuitry for the Low-IF Receivers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 Tolerance to Small Delay Defects by Adaptive Clock Stretching. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Gao Shu, Nick J. Avis Workflow-Based Distributed Visualization. Search on Bibsonomy GCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Thomas Kottke, Andreas Steininger A Fail-Silent Reconfigurable Superscalar Processor. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope High Level Modelling and Design For a Microthreaded Scheduler to Support Microgrids. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Andrew D. Hilton, Amir Roth Ginger: control independence using tag rewriting. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF control independence, out-of-order renaming, selective re-dispatch, branch misprediction
11Jiang Long, Andrew Seawright Synthesizing SVA Local Variables for Formal Verification. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Alexander J. Macdonald, David F. Brailsford, Steven R. Bagley, John William Lumley Speculative document evaluation. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VDP, speculative evaluation, optimisation, SVG, document layout, PPML
11Tae-Young Kim, Jongho Kim, Hyunmin Hur A Unified Shader Based on the OpenGL ES 2.0 for 3D Mobile Game Development. Search on Bibsonomy Edutainment The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ming Zhang 0017, Subhasish Mitra, T. M. Mak, Norbert Seifert, Nicholas J. Wang, Quan Shi, Kee Sup Kim, Naresh R. Shanbhag, Sanjay J. Patel Sequential Element Design With Built-In Soft Error Resilience. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Athanasios Kakarountas, Haralambos Michail, Athanasios Milidonis, Costas E. Goutis, George Theodoridis High-Speed FPGA Implementation of Secure Hash Algorithm for IPSec and VPN Applications. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-speed performance, Security, FPGA, hash function, hardware implementation
11Seong-Won Lee, Jean-Luc Gaudiot Throttling-Based Resource Management in High Performance Multithreaded Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Resource management, power management, multithreading, resource utilization, throttling
11Yijun Li, Magdy A. Bayoumi A Three-Level Parallel High-Speed Low-Power Architecture for EBCOT of JPEG 2000. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11David A. Ellsworth, Bryan Green, Chris Henze, Patrick J. Moran, Timothy Sandstrom Concurrent Visualization in a Production Supercomputing Environment. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF concurrent visualization, interactive visual computing, high temporal resolution visualization, GEOS4 global climate model, hurricane visualization, ECCO, ocean modeling, Supercomputing, time-varying data
11Ming-Jung Seow, Vijayan K. Asari Recurrent neural network as a linear attractor for pattern association. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Nicholas J. Wang, Sanjay J. Patel ReStore: Symptom-Based Soft Error Detection in Microprocessors. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Simulation, fault tolerance, fault injection, redundant design
11Shadrokh Samavi, Shahram Shirani, Nader Karimi Real-time processing and compression of DNA microarray images. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie Bypass aware instruction scheduling for register file power reduction. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture-sensitive compiler, bypass-sensitive, forwarding paths, operation table, processor bypasses, reservation table, power consumption, register file
11Sumeet Kumar, Aneesh Aggarwal Self-checking instructions: reducing instruction redundancy for concurrent error detection. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RISC/CISC, reducing instruction redundancy, redundant multi-threading, self-checking instructions, concurrent error detection, VLIW architectures
11Jie S. Hu, Shuai Wang 0006, Sotirios G. Ziavras In-Register Duplication: Exploiting Narrow-Width Value for Improving Register File Reliability. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Xue-mi Zhao, Zhiying Wang 0003, Hongyi Lu, Kui Dai A 6.35Mbps 1024-bit RSA crypto coprocessor in a 0.18um CMOS technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Peter A. Beerel, Nam-Hoon Kim, Andrew Lines, Mike Davies Slack Matching Asynchronous Designs. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Hai Li 0001, Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11William N. Chelton, Mohammed Benaissa High-Speed Pipelined EGG Processor on FPGA. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Christian Ferdinand, Florian Martin 0001, Christoph Cullmann, Marc Schlickling, Ingmar Stein, Stephan Thesing, Reinhold Heckmann New Developments in WCET Analysis. Search on Bibsonomy Program Analysis and Compilation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Kishan Chand Gupta, Pradeep Kumar Mishra, Pinakpani Pal A General Methodology for Pipelining the Point Multiplication Operation in Curve Based Cryptography. Search on Bibsonomy ACNS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Bok Dong Kim, Sang Ok Koo, Hyok Don Kwon, Seong Dae Jung, Soon Ki Jung, Minho Lee, YongWoo Rho, SungJa Koo Integrated visualization for geometry PIG data. Search on Bibsonomy APVIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF features visualization, geometry PIG, multi-channel data, navigation, large data visualization
11Gerhard Lienhart, Andreas Kugel, Reinhard Männer Rapid development of high performance floating-point pipelines for scientific simulation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Takatoshi Ikeda, Fumihiko Ino, Kenichi Hagihara A code motion technique for accelerating general-purpose computation on the GPU. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Bernhard Fechner Analysis of checksum-based execution schemes for pipelined processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yongfeng Gu, Tom Van Court, Martin C. Herbordt Integrating FPGA Acceleration into the Protomol Molecular Dynamics Code: Preliminary Report. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11K. Scott Hemmert, Keith D. Underwood Open Source High Performance Floating-Point Modules. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IEEE floating point, FPGA, reconfigurable computing
11Yousef El-Kurdi, Warren J. Gross, Dennis Giannacopoulos Sparse Matrix-Vector Multiplication for Finite Element Method Matrices on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11 Multi-paradigm and Multi-grain Parallel Execution Model Based on SMP-Cluster. Search on Bibsonomy John Vincent Atanasoff Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Abiola Oduguwa, Ashutosh Tiwari, S. Fiorentino, Rajkumar Roy Multi-objective optimisation of the protein-ligand docking problem in drug discovery. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF evolutionary computing, drug discovery, multi-objective optimisation, protein-ligand docking
11Rastislav Lukac, Konstantinos N. Plataniotis Cost-Effective Sharpening of Single-Sensor Camera Images. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Hua Xiong, Haoyu Peng, Aihong Qin, Jiaoying Shi Parallel occlusion culling on GPUs cluster. Search on Bibsonomy VRCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GPUs cluster, parallel rendering, occlusion culling, tiled display, immersive environments, cluster rendering
11Greg Hoover, Forrest Brewer, Timothy Sherwood Extensible control architectures. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF specification methodology, control architecture
11Jin Lee, Sin-Chong Park, Sungchung Park A pipelined VLSI architecture for a list sphere decoder. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mohammad Taherzadeh-Sani, Anas A. Hamoui Digital background calibration of interstage-gain and capacitor-mismatch errors in pipelined ADCs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mohammad Taherzadeh-Sani, Anas A. Hamoui Analysis of dynamic element matching (DEM) in pipelined ADCs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tae-Young Kim, Kyoung-Su Oh, Byeong-Seok Shin, Cheol-Su Lim Programmable Vertex Processing Unit for Mobile Game Development. Search on Bibsonomy ICEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Osama Daifallah Al-Khaleel, Christos A. Papachristou, Francis G. Wolff, Kiamal Z. Pekmestzi A Large Scale Adaptable Multiplier for Cryptographic Applications. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Christopher Zach, Mario Sormann, Konrad F. Karner High-Performance Multi-View Reconstruction. Search on Bibsonomy 3DPVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mythri Alle, Jayanta Biswas, S. K. Nandy 0001 High Performance VLSI Architecture Design for H.264 CAVLC Decoder. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Andrew Havlir, David Z. Pan Simultaneous Statistical Delay and Slew Optimization for Interconnect Pipelines. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Raphael Fonte Boa, Alexandre Marques Amaral, Dulcinéia Oliveira da Penha, Carlos Augusto Paiva da Silva Martins, Petr Ekel Parallel Image Segmentation in Reconfigurable Chip Multiprocessors. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yuan-Long Jeang, Tzuu-Shaang Wey, Hung-Yu Wang, Chih-Chung Tai A Single-Stream Pipelined Instruction Decompression System for Embedded Microprocessors. Search on Bibsonomy IIH-MSP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mee Young Sung, Suk-Min Whang, Yonghee Yoo, Nam-Joong Kim, Jong Seung Park, Wonik Choi Parallel Processing for Reducing the Bottleneck in Realtime Graphics Rendering. Search on Bibsonomy PCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Realtime graphics rendering, Distribution of rendering operations, Optimization, Parallel processing, Multithreading, Bottleneck
11Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin Ultra low-cost defect protection for microprocessor pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-protection, reliability, pipelines, low-cost
11João Graça, Nuno J. Mamede, João D. Pereira A Framework for Integrating Natural Language Tools. Search on Bibsonomy PROPOR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yi-Chih Chao, Shih-Tse Wei, Jar-Ferr Yang, Bin-Da Liu Combined CAVLC Decoder and Inverse Quantizer for Efficient H.264/AVC Decoding. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Daehong Kim, Dongwan Shin, Kiyoung Choi Pipelining with common operands for power-efficient linear systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Emil Talpes, Diana Marculescu Execution cache-based microarchitecture for power-efficient superscalar processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Shanq-Jang Ruan, Kun-Lin Tsai, Edwin Naroska, Feipei Lai Bipartitioning and encoding in low-power pipelined circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Low-power design
11Ivano Barbieri, Massimo Bariani, Alberto Cabitto, Marco Raggio A Simulation and Exploration Technology for Multimedia-Application-Driven Architectures. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hw-Sw co-design, simulation speed, simulation accuracy, simulation, multimedia, system on chip, DSP, flexibility, VLIW, architecture exploration, ISA
11Fred A. Bower, Sule Ozev, Daniel J. Sorin Autonomic Microprocessor Execution via Self-Repairing Arrays. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Logic design reliability and testing, microprocessors and microcomputers
Displaying result #901 - #1000 of 9295 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license