The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for COOL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1993 (16) 1994-1996 (16) 1997-1999 (17) 2000-2001 (18) 2002 (15) 2003-2004 (27) 2005 (24) 2006 (26) 2007 (25) 2008 (64) 2009 (25) 2010 (19) 2011 (32) 2012 (38) 2013 (39) 2014 (42) 2015 (27) 2016 (34) 2017 (33) 2018 (24) 2019 (23) 2020 (29) 2021 (21) 2022 (36) 2023 (35) 2024 (11)
Publication types (Num. hits)
article(184) book(1) incollection(1) inproceedings(514) phdthesis(2) proceedings(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 189 occurrences of 165 keywords

Results
Found 731 publication records. Showing 716 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
139Karen Holtzblatt, David B. Rondeau, Les Holtzblatt Understanding "cool". Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compelling design, product and system design, cool
121Xiongfei Liao, Wu Jigang, Thambipillai Srikanthan A temperature-aware virtual submesh allocation scheme for noc-based manycore chips. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF manycore chips, submesh allocation, algorithm, noc, temperature
99Kritsada Sriphaew, Hiroya Takamura, Manabu Okumura Cool Blog Identi?cation Using Topic-Based Models. Search on Bibsonomy Web Intelligence The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
86Kristen Nygaard COOL (comprehensive object-oriented learning). Search on Bibsonomy ITiCSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
86Kritsada Sriphaew, Hiroya Takamura, Manabu Okumura Cool Blog Classification from Positive and Unlabeled Examples. Search on Bibsonomy PAKDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cool blog, PU-learning, weighting examples, bagging
80Tsugio Makimoto, Kazuhiko Eguchi, Mitsugu Yoneyama The Cooler the Better: New Directions in the Nomadic Age. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
72Mikhail N. Dorojevets COOL Approach to Petaflops Computing (invited paper). Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
67Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Coupling compiler-enabled and conventional memory accessing for energy efficiency. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF translation buffers, virtually addressed caches, Energy efficiency
67Sejun Song, Jim Huang Internet router outage measurement: an embedded approach. Search on Bibsonomy NOMS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
67Bernard K. Gunther The Circuit Object Organization Library. Search on Bibsonomy ACAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
59Robert C. Aitken ITC is Cool. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-frequency test, board and system test, test compression, silicon debug, International Test Conference, ITC
58Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, Jiwon Choi, Hoi-Jun Yoo COOL-NPU: Complementary Online Learning Neural Processing Unit with CNN-SNN Heterogeneous Core and Event-driven Backpropagation. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
58Vinod Pangracious, Ranjitha Dash, Ashok Kumar Turuk 3D-cool: Design and development of adaptive thermal-aware three-dimensional NoC-based multiprocessor chip. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
58Hideharu Amano, Tadao Nakamura, Hiroaki Kobayashi, Hironori Kasahara, Yoshiaki Hagiwara, Jeffrey L. Burns, David Brash Panel discussions: "Cool chips for the next decade". Search on Bibsonomy COOL Chips The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
58Fumio Arakawa Panel discussions: Toward wearable computing era, how COOL chip architecture and tools will evolve? Search on Bibsonomy COOL Chips The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
58Yukoh Matsumoto, Tomoyuki Morimoto, Michiya Hagimoto, Hiroyuki Uchida, Nobuyuki Hikichi, Fumito Imura, Hiroshi Nakagawa, Masahiro Aoyagi Cool System scalable 3-D stacked heterogeneous Multi-Core / Multi-Chip architecture for ultra low-power digital TV applications. Search on Bibsonomy COOL Chips The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
58Marco Chacin, Hiroyuki Uchida, Michiya Hagimoto, Takashi Miyazaki, Takeshi Ohkawa, Rimon Ikeno, Yukoh Matsumoto, Fumito Imura, Motohiro Suzuki, Katsuya Kikuchi, Hiroshi Nakagawa, Masahiro Aoyagi COOL interconnect low power interconnection technology for scalable 3D LSI design. Search on Bibsonomy COOL Chips The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
45Juan Miguel Gómez 0001, Fernando Paniagua Martín, Ángel García-Crespo, Christoph Bussler Modelling B2B Conversations with COOL for SemanticWeb Services. Search on Bibsonomy AICT/ICIW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Rob J. van Glabbeek On Cool Congruence Formats for Weak Bisimulations. Search on Bibsonomy ICTAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Cool-Fetch: A Compiler-Enabled IPC Estimation Based Framework for Energy Reduction. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Thomas Strang, Claudia Linnhoff-Popien, Korbinian Frank CoOL: A Context Ontology Language to Enable Contextual Interoperability. Search on Bibsonomy DAIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Cool-Mem: combining statically speculative memory accessing with selective address translation for energy efficiency. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Volker Braun, Jens Knoop, Dirk Koschützki Cool: A Control-Flow Generator for System Analysis. Search on Bibsonomy CC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
45Rodger Lea, Christian Jacquemot The COOL architecture and abstractions for object-oriented distributed operating systems. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
40Georgios Meditskos, Nick Bassiliades O-DEVICE: An Object-Oriented Knowledge Base System for OWL Ontologies. Search on Bibsonomy SETN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Kunio Uchiyama, Pradip Bose Guest Editors' Introduction: Energy-Efficient Design. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cool Chips VII, ACEED, Cell processor, Energy-efficient design
39 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2023, Tokyo, Japan, April 19-21, 2023 Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Anawin Opasatian, Makoto Ikeda Lookup Table Modular Reduction: A Low-Latency Modular Reduction for Fast ECC Processor. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Viktor Razilov, Juncen Zhong, Emil Matús, Gerhard P. Fettweis Dual Vector Load for Improved Pipelining in Vector Processors. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Infall Syafalni, Mohamad Imam Firdaus, Andi M. Riyadhus Ilmy, Nana Sutisna, Trio Adiono MazeCov-Q: An Efficient Maze-Based Reinforcement Learning Accelerator for Coverage. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Genta Inoue, Daiki Okonogi, Thiem Van Chu, Jaehoon Yu, Masato Motomura, Kazushi Kawamura Flexibly Controllable Dynamic Cooling Methods for Solid-State Annealing Processors to Improve Combinatorial Optimization Performance. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Ziquan Qin, Kaijie Wei, Hideharu Amano, Kazuhiro Nakadai Low power implementation of Geometric High-order Decorrelation-based Source Separation on an FPGA board. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, Hoi-Jun Yoo A Low-power Neural 3D Rendering Processor with Bio-inspired Visual Perception Core and Hybrid DNN Acceleration. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Tobias Kaiser, Friedel Gerfers A 2.41-μW/MHz, 437-PE/mm2 CGRA in 22 nm FD-SOI With RISC-Like Code Generation. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Tatsuya Kubo, Shinya Takamaeda-Yamazaki Cachet: A High-Performance Joint-Subtree Integrity Verification for Secure Non-Volatile Memory. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Takeshi Ohkawa, Masahiro Aoyagi FPGA Emulation of Through-Silicon-Via (TSV) Dataflow Network for 3D Standard Chip Stacking System. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39Jinsung Yoon, Donghyun Lee, Neungyun Kim, Su-Jung Lee, Gil-Ho Kwak, Tae-Hwan Kim A Real-Time Keyword Spotting System Based on an End-To-End Binary Convolutional Neural Network in FPGA. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
39 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2022, Tokyo, Japan, April 20-22, 2022 Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Moritz Scherer, Alfio Di Mauro, Georg Rutishauser, Tim Fischer 0001, Luca Benini A 1036 TOp/s/W, 12.2 mW, 2.72 μJ/Inference All Digital TNN Accelerator in 22 nm FDX Technology for TinyML Applications. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Yang Chen, Lin Liu, Xuelin Feng, Jinglin Shi DXT501: An SDR-Based Baseband MP-SoC for Multi-Protocol Industrial Wireless Communication. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Takuya Kojima, Hayate Okuhara, Masaaki Kondo, Hideharu Amano Body Bias Control on a CGRA based on Convex Optimization. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Kaoru Masada, Ryohei Nakayama, Makoto Ikeda Hardware Acceleration of Aggregate Signature Generation and Authentication by BLS Signature over BLS12-381 curve. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Kensuke Iizuka, Haruna Takagi, Aika Kamei, Kazuei Hironaka, Hideharu Amano Power Analysis of Directly-connected FPGA Clusters. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Yuki Abe, Kazutoshi Kobayashi, Jun Shiomi, Hiroyuki Ochi Zero-standby-power Nonvolatile Standard Cell Memory Using FiCC for IoT Processors with Intermittent Operations. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Ken Nakamura, Yuya Omori, Daisuke Kobayashi, Koyo Nitta, Kimikazu Sano, Masayuki Sato 0001, Hiroe Iwasaki, Hiroaki Kobayashi An Efficient Reference Image Sharing Method for the Parallel Video Encoding Architecture. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Dongseok Im, Gwangtae Park, Junha Ryu, Zhiyong Li, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon Park, Hankyul Kwon, Hoi-Jun Yoo A Low-power and Real-time 3D Object Recognition Processor with Dense RGB-D Data Acquisition in Mobile Platforms. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Shine Parekkadan Sunny, Satyajit Das Reinforcement Learning based Efficient Mapping of DNN Models onto Accelerators. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Hoang Gia Vu, Ngoc-Dai Bui Encoder-based Many-Pattern Matching on FPGAs. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Yasuhiro Mochida, Daisuke Shirai, Koichi Takasugi Ultra-low Latency 8K Video-transmission System Utilizing Disaggregation Configuration. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39Reon Oshio, Sugahara Takuya, Atsushi Sawada, Mutsumi Kimura, Renyuan Zhang, Yasuhiko Nakashima A Memcapacitive Spiking Neural Network with Circuit Nonlinearity-aware Training. Search on Bibsonomy COOL CHIPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
39 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2021, Tokyo, Japan, April 14-16, 2021 Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Tomoki Shimizu, Kohei Ito, Kensuke Iizuka, Kazuei Hironaka, Hideharu Amano Hybrid Network of Packet Switching and STDM in a Multi-FPGA System. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo An Energy-Efficient Deep Neural Network Training Processor with Bit-Slice-Level Reconfigurability and Sparsity Exploitation. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Shunsuke Tsukada, Hikaru Takayashiki, Masayuki Sato 0001, Kazuhiko Komatsu, Hiroaki Kobayashi A Metadata Prefetching Mechanism for Hybrid Memory Architectures. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Eishi Arima, Yuetsu Kodama, Tetsuya Odajima, Miwako Tsuji, Mitsuhisa Sato Power/Performance/Area Evaluations for Next-Generation HPC Processors using the A64FX Chip. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Van Dai Phan, Hoai Luan Pham, Thi Hong Tran, Yasuhiko Nakashima High Performance Multicore SHA-256 Accelerator using Fully Parallel Computation and Local Memory. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Ayan Datta, Karanvir Singh, Arpita Dutta, Kousik Debnath A Timing Aware Connectivity Optimization Technique for Improving Energy Efficiency of High-Performance CPUs. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Zhenshan Bao, Kang Zhan, Wenbo Zhang 0003, Junnan Guo LSFQ: A Low Precision Full Integer Quantization for High-Performance FPGA-Based CNN Acceleration. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Sugahara Takuya, Renyuan Zhang, Yasuhiko Nakashima Training Low-Latency Spiking Neural Network through Knowledge Distillation. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Takaki Urabe, Hiroyuki Ochi, Kazutoshi Kobayashi Nonvolatile SRAM Using Fishbone-in-Cage Capacitor in a 180 nm Standard CMOS Process for Zero-Standby and Instant-Powerup Embedded Memory on IoT. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39Stanislav Sedukhin, Yoichi Tomioka, Kohei Yamamoto In Search of the Performance- and Energy-Efficient CNN Accelerators. Search on Bibsonomy COOL CHIPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
39 2020 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2020, Kokubunji, Japan, April 15-17, 2020 Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  BibTeX  RDF
39Shota Nakabeppu, Yosuke Ide, Masahiko Takahashi, Yuta Tsukahara, Hiromi Suzuki, Haruki Shishido, Nobuyuki Yamasaki Space Responsive Multithreaded Processor (SRMTP) for Spacecraft Control. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Junichiro Kadomoto, Hidetsugu Irie, Shuichi Sakai A RISC-V Processor with an Inter-Chiplet Wireless Communication Interface for Shape-Changeable Computers. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Masayuki Sato 0001, Xue Hao, Kazuhiko Komatsu, Hiroaki Kobayashi Energy-efficient Design of an STT-RAM-based Hybrid Cache Architecture. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Takuya Sakuma, Hiroki Matsutani An Area-Efficient Implementation of Recurrent Neural Network Core for Unsupervised Anomaly Detection. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Dennis Walter, André Scharfe, Alexander Oefelein, Florian Schraut, Heiner Bauer, Farkas Csaszar, Robert Niebsch, Jörg Schreiter, Holger Eisenreich, Sebastian Höppner A 0.55V 6.3uW/MHz Arm Cortex-M4 MCU with Adaptive Reverse Body Bias and Single Rail SRAM. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Jisu Kwon, Moon Gi Seok, Daejin Park User Insensible Sliding Firmware Update Technique for Flash-Area/Time-Cost Reduction toward Low-Power Embedded Software Replacement. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Markus Hiienkari, Navneet Gupta, Jukka Teittinen, Jesse Simonsson, Matthew J. Turnquist, Jonas Eriksson, Risto Anttila, Ohto Myllynen, Hannu Rämäkkö, Sofia Mäkikyrö, Lauri Koskinen A 0.4-0.9V, 2.87pJ/cycle Near-Threshold ARM Cortex-M3 CPU with In-Situ Monitoring and Adaptive-Logic Scan. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Kimiyoshi Usami, Sosuke Akiba, Hideharu Amano, Takeharu Ikezoe, Keizo Hiraga, Kenta Suzuki, Yasuo Kanda Non-Volatile Coarse Grained Reconfigurable Array Enabling Two-step Store Control for Energy Minimization. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Haerang Choi, Yosep Lee, Jae-Joon Kim, Sungjoo Yoo A Novel In-DRAM Accelerator Architecture for Binary Neural Network. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Yasuhiro Mochida, Takahiro Yamaguchi, Ken Nakamura MMT-based Multi-channel Video Transmission System with Synchronous Processing Architecture. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Dionysios Diamantopoulos, Florian Scheidegger, Stefan Mach, Fabian Schuiki, Germain Haugou, Michael Schaffner, Frank K. Gürkaynak, Christoph Hagleitner, A. Cristiano I. Malossi, Luca Benini XwattPilot: A Full-stack Cloud System Enabling Agile Development of Transprecision Software for Low-power SoCs. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39Meenatchi Jagasivamani, Candace Walden, Devesh Singh, Luyi Kang, Mehdi Asnaashari, Sylvain Dubois, Bruce L. Jacob, Donald Yeung Tileable Monolithic ReRAM Memory Design. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
39 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2019, Yokohama, Japan, April 17-19, 2019 Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  BibTeX  RDF
39Ken Nakamura, Yuya Omori, Daisuke Kobayashi, Tatsuya Osawa, Takayuki Onishi, Koyo Nitta, Hiroe Iwasaki, Atsushi Shimizu Low Delay 4K 120fps HEVC Decoder with Parallel Processing Architecture. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Kyosuke Tanaka, Hayato Yamaki, Shinobu Miwa, Hiroki Honda Multi-Level Packet Processing Caches. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Yuta Tokusashi, Hiroki Matsutani, Hideharu Amano Key-value Store Chip Design for Low Power Consumption. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Mulya Agung, Muhammad Alfian Amrizal, Ryusuke Egawa, Hiroyuki Takizawa The Impacts of Locality and Memory Congestion-aware Thread Mapping on Energy Consumption of Modern NUMA Systems. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Robert Wittig, Mattis Hasler, Emil Matús, Gerhard P. Fettweis Statistical Access Interval Prediction for Tightly Coupled Memory Systems. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Eri Ogawa, Kazuaki Ishizaki, Hiroshi Inoue, Swagath Venkataramani, Jungwook Choi, Wei Wang 0333, Vijayalakshmi Srinivasan, Moriyoshi Ohara, Kailash Gopalakrishnan A Compiler for Deep Neural Network Accelerators to Generate Optimized Code for a Wide Range of Data Parameters from a Hand-crafted Computation Kernel. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Yugo Yamauchi, Kazusa Musha, Hideharu Amano Implementing a large application(LSTM) on the multi-FPGA system: Flow-in-Cloud. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Tomoya Itsubo, Mineto Tsukada, Hiroki Matsutani Performance and Cost Evaluations of Online Sequential Learning and Unsupervised Anomaly Detection Core. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Alberto Gianelli, Nick Iliev, Shamma Nasrin, Mariagrazia Graziano, Amit Ranjan Trivedi Low Power Speaker Identification using Look Up-free Gaussian Mixture Model in CMOS. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Ken Tanabe, Hiroshi Kubota, Akihide Sai, Nobu Matsumoto Inter-Frame Smart-Accumulation Technique for Long-Range and High-Pixel Resolution LiDAR. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Ravi Theja Gollapudi, Gokturk Yuksek, Kanad Ghose Cache-Aware Dynamic Classification and Scheduling for Linux. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Yusuke Shirota, Satoshi Shirai, Tatsunori Kanai Hybrid Access in Storage-class Memory-aware Low Power Virtual Memory System. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Shinichi Sasaki, Asuka Maki, Daisuke Miyashita, Jun Deguchi Post Training Weight Compression with Distribution-based Filter-wise Quantization Step. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Masayuki Sato 0001, Yongcheng Chen, Haruya Kikuchi, Kazuhiko Komatsu, Hiroaki Kobayashi Perceptron-based Cache Bypassing for Way-Adaptable Caches. Search on Bibsonomy COOL CHIPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39 2018 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2018, Yokohama, Japan, April 18-20, 2018 Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  BibTeX  RDF
39Ryosuke Kazami, Hayate Okuhara, Hideharu Amano Design automation methodology of a critical path monitor for adaptive voltage controls. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Tetsuya Odajima, Yuetsu Kodama, Mitsuhisa Sato Power performance analysis of ARM scalable vector extension. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Mathieu Coustans, Abdelkarim Cherkaoui, Laurent Fesquet, Christian Terrier, Stephanie Salgado, Thomas Eberhardt, Maher Kayal Subthreshold logic for low-area and energy efficient true random number generator. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Ken Tanabe, Hiroshi Kubota, Akihide Sai, Nobu Matsumoto Data selection and de-noising based on reliability for long-range and high-pixel resolution LiDAR. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Noriyuki Uetake, Renyuan Zhang, Takashi Nakada, Yasuhiko Nakashima A programmable analog calculation unit for vector computations. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Andrawes Al Bahou, Geethan Karunaratne, Renzo Andri, Lukas Cavigelli, Luca Benini XNORBIN: A 95 TOp/s/W hardware accelerator for binary convolutional neural networks. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Koji Inoue, Takuya Araki, Takumi Maruyama, Pritish Narayanan, Takashi Oshima, Martin Schulz 0001 Panel discussions: "Challenges to the scaling limits: How can we achieve sustainable power-performance improvements?". Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Masayuki Sato 0001, Zehua Li, Ryusuke Egawa, Hiroaki Kobayashi An energy-aware set-level refreshing mechanism for eDRAM last-level caches. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Dionysios Diamantopoulos, Heiner Giefers, Christoph Hagleitner ecTALK: Energy efficient coherent transprecision accelerators - The bidirectional long short-term memory neural network case. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
39Takahiro Ichikura, Ryusuke Yamano, Yuma Kikutani, Renyuan Zhang, Yasuhiko Nakashima EMAXVR: A programmable accelerator employing near ALU utilization to DSA. Search on Bibsonomy COOL CHIPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 716 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license