The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-2001 (18) 2002-2004 (27) 2005 (27) 2006 (28) 2007 (28) 2008 (30) 2009-2010 (20) 2011-2016 (19) 2017-2021 (16) 2022-2024 (7)
Publication types (Num. hits)
article(46) incollection(1) inproceedings(170) phdthesis(2) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 229 occurrences of 108 keywords

Results
Found 220 publication records. Showing 220 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
113Mark Redford, Joseph Sawicki, Prasad Subramaniam, Cliff Hou, Yervant Zorian, Kimon Michaels DFM: don't care or competitive weapon? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RDR, physical verification, sign-off, yield, DFM, design-for-manufacturing, design rules, manufacturing variability, DRC
107Lionel Riviere-Cazaux, Kevin Lucas, Jon Fitch Integration Of Design For Manufacturability (DFM) Practices In Design Flows. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
93Vijay Pitchumani A Hitchhiker's Guide to the DFM Universe. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
84Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh DFM in practice: hit or hype? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical area analysis, CMP, yield, DFM, OPC, lithography
84Shishpal Rawat, Raul Camposano, Andrew B. Kahng, Joseph Sawicki, Mike Gianfagna, Naeem Zafar, Atul Sharan DFM: where's the proof of value? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ROI, DFM, design for manufacture, OPC, RET, yield optimization, design for yield
79Artur Balasinski Question: DRC or DfM ? Answer: FMEA and ROI. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
70Shi-Hao Chen, Ke-Cheng Chu, Jiing-Yuan Lin, Cheng-Hong Tsai DFM/DFY practices during physical designs for timing, signal integrity, and power. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, DFY, dynamic IR drop, process variation, physical designs, DFM, design for manufacturability, signal integrity, timing integrity, yield analysis, design for yield
70Matt Nowak, Riko Radojcic Are there economic benefits in DFM? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fabless, foundries, DFM, design for manufacturability
65Marco Casale-Rossi, Andrzej J. Strojwas, Robert C. Aitken, Antun Domic, Carlo Guardiani, Philippe Magarshack, Douglas Pattullo, Joseph Sawicki DFM/DFY: should you trust the surgeon or the family doctor? Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
65Xianlong Hong, Yici Cai, Hailong Yao, Duo Li DFM-aware Routing for Yield Enhancement. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
65Jingtao Yang, Corina Cîrstea, Peter Henderson 0001 An Operational Semantics for DFM, a Formal Notation for Modelling Asynchronous Web Services Coordination. Search on Bibsonomy QSIC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
65Michel Houtermans, George E. Apostolakis, Aarnout Brombacher, Dimitrios Karydas Programmable Electronic System Design & Verification Utilizing DFM. Search on Bibsonomy SAFECOMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
62Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi Creating an affordable 22nm node using design-lithography co-optimization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design technology co-optimization, templates, DFM, regular fabric
62Qi Lin, Mei Ma, Tony Vo, Jenny Fan, Xin Wu, Richard Li, Xiao-Yu Li Design-for-Manufacture for Multi Gate Oxide CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi gate oxide, FPGA, layout, yield, DFM
61YuHua Cheng A glance of technology efforts for design-for-manufacturing in nano-scale CMOS processes. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nano-CMOS IC design, IC design methodology, CMOS design technology platform, design-for-manufacturing (DFM), design-for-yield
60 Proceedings of the Sixth Workshop on Data-Flow Execution Models for Extreme Scale Computing, DFM@PACT 2016, Haifa, Israel, September 15, 2016 Search on Bibsonomy DFM@PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
60Justin M. Wozniak, Michael Wilde, Ian T. Foster Challenges and Opportunities for Dataflow Processing on Exascale Computers. Search on Bibsonomy DFM@PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
60Zoran Budimlic, Kathleen Knobe CnC: A Dependence Programming Model. Search on Bibsonomy DFM@PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
60Kumud Bhandari, Vivek Sarkar Tree-based Read-only Data Chunks for NVRAM Programming. Search on Bibsonomy DFM@PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
60George Matheou, Costas Kyriacou, Paraskevas Evripidou Data-Driven execution of the Tile LU Decomposition. Search on Bibsonomy DFM@PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
57Charles H. Ouyang, Hans T. Heineken, Jitendra Khare, Saghir A. Shaikh, Manuel d'Abreu Maximizing Wafer Productivity Through Layout Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wafer productivity, die cost, interconnect cost, manufacturability, yield, design for manufacturability
56Yin Shen, Yici Cai, Qiang Zhou 0001, Xianlong Hong DFM Based Detailed Routing Algorithm for ECP and CMP. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ECP, CMP, DFM, detailed routing
56Jamil Kawa, Charles C. Chiang DFM issues for 65nm and beyond. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DFY, DFM
56Greg Yeric, Ethan Cohen, John Garcia, Kurt Davis, Esam Salem, Gary Green Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF systematic yield loss, test structure, BEOL, DFM, process monitoring, silicon debug, infrastructure IP
56Juan Antonio Carballo, Yervant Zorian, Raul Camposano, Andrzej J. Strojwas, John Kibarian, Dennis Wassung, Alex Alexanian, Steve Wigley, Neil Kelly Guest Editors' Introduction: DFM Drives Changes in Design Flow. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Design for manufacturability, DFM
56Kees Veelenturf The Road to Better Reliability and Yield Embedded DfM Tools. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wire spreading, yield prediction, yield improvement, DfM
51Resve A. Saleh, Pallab K. Chatterjee, Ivan Pesic, Robbert Dobkins, Mike Smayling, Joseph Sawicki DFM-EDA's Salvation or its Excuse for Being out of Touch with Engineering? Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Robert C. Aitken DFM Metrics for Standard Cells. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Artur Balasinski DfM for SoC, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
48Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
48C. Tabery, M. Craig, Gert Burbach, B. Wagner, S. McGowan, P. Etter, S. Roling, C. Haidinyak, E. Ehrichs Process Window and Device Variations Evaluation using Array-Based Characterization Circuits. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transistor array, transistor matching, via yield, DOE ROM, novel test circuits, DFM
47Musa Alci New dynamic fuzzy structure and dynamic system identification. Search on Bibsonomy Soft Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Dynamic fuzzy module (DFM), Non-linear dynamic system, System identification
47Jay Jahangiri, David Abercrombie Value-Added Defect Testing Techniques. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF advanced design-for-manufacturability, DFM test methods, defect testing techniques
45Robert C. Aitken, David Pietromonaco, Brian Cline DFM is dead - Long live DFM. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
45Wing Chiu Tam, R. D. (Shawn) Blanton To DFM or not to DFM? Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
45Tets Maniwa, Pallab K. Chatterjee Evening Panel Discussion: DFM PDK's: Where Do They Belong To? Are Process Design Kits (PDKs) the Answer for Modern Design for Manufacturing (DFM) Issues? Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Fadi J. Kurdahi, Nikil D. Dutt, Ahmed M. Eltawil, Sani R. Nassif Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Gabriel M. Silberman, Ilan Y. Spillinger Using functional fault simulation and the difference fault model to estimate implementation fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
42Yongchan Ban, Savithri Sundareswaran, David Z. Pan Total sensitivity based dfm optimization of standard library cells. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, VLSI, sensitivity, DFM, lithography
42N. Verghese, P. Hurat DFM reality in sub-nanometer IC design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF EDA solutions, subnanometer IC design, catastrophic failures, systematic manufacturing variations, subnanometer manufacturing variations, DFM, design for manufacturing, parametric failures
42Carlo Guardiani, Massimo Bertoletti, Nicola Dragone, Marco Malcotti, Patrick McNamara An effective DFM strategy requires accurate process and IP pre-characterization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test chips, yield, DFM, yield models
37Jun-Fu Huang, Victor C. Y. Chang, Sally Liu, Kelvin Y. Y. Doong, Keh-Jeng Chang Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37David Cross, Eric Nequist, Louis Scheffer A DFM aware, space based router. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Anne E. Gattiker IC failure mechanisms yesterday, today, tomorrow: implications from test to DFM. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Yongsik Kim, Taesoo Lim, Dongsoo Kim, Cheol Jung, Honggee Jin Process-Oriented DFM System for Ubiquitous Devices. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Charles C. Chiang, Jamil Kawa Three DFM Challenges: Random Defects, Thickness Variation, and Printability Variation. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Naveed A. Sherwani, Susan Lippincott Mack, Alex Alexanian, Premal Buch, Carlo Guardiani, Harold Lehon, Peter Rabkin, Atul Sharan DFM rules! Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF design for manufacturability, yield optimization
37Pradiptya Ghosh, Chung-shin Kang, Michael Sanie, David Pinto 0003 New DFM Approach Abstracts AltPSM Lithography Requirements for sub-100nm IC Design Domains. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Sachin S. Sapatnekar Building your yield of dreams. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nanoscale, modeling variations, CMOS, yield, design for manufacturability, DFM
34Young-Gu Kim, Soo-Hwan Kim, Hoon Lim, Sanghoon Lee, Keun-Ho Lee, Young-Kwan Park, Moon-Hyun Yoo The Statistical Failure Analysis for the Design of Robust SRAM in Nano-Scale Era. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Statistical failure analysis, DFM, SRAM
34Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja Yield-Driven, False-Path-Aware Clock Skew Scheduling. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance-related circuit yield loss, circuit-level parameters, DFM, clock skew scheduling
33Kevin Lucas, Chi-Min Yuan, Robert Boone, Karl Wimmer, Kirk Strozewski, Olivier Toublan Logic Design for Printability Using OPC Methods. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optical proximity correction (OPC), reticle enhancement technology (RET), design for manufacturability (DFM)
33Daniel N. Maynard Productivity Optimization Techniques for the Proactive Semiconductor Manufacturer (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modeling, Productivity, Design for Manufacturing (DFM), Characterization, Checking
28David Z. Pan Synergistic modeling and optimization for nanometer IC design/manufacturing integration. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturing
28Anne Gattiker Using test data to improve IC quality and yield. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Nagesh Nagapalli DFT and Test: Ensuring Product Quality. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Vijay Pitchumani Embedded tutorial I: design for manufacturability. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla, David Berner Extreme Formal Modeling (XFM) for Hardware Models. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Sani R. Nassif, Duane S. Boning, Nagib Hakim The care and feeding of your statistical static timer. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Keh-Jeng Chang Accurate On-Chip Variation Modeling to Achieve Design for Manufacturability. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Ge Cong, Bahram Parvin A New Regularized Approach for Contour Morphing. Search on Bibsonomy CVPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Fabian Walke, Florian Ulmke, Till J. Winkler Making Digital File Management Successful: A Grounded Model of DFM Adoption in the Public Sector. Search on Bibsonomy HICSS The full citation details ... 2024 DBLP  BibTeX  RDF
22Vipul Garg, Gopika Vinod, Mahendra Prasad, T. V. Santhosh, N. B. Shrestha, J. Chattopadhyay Hybrid DFM - Petri net approach for dynamic reliability analysis of smart transmitters. Search on Bibsonomy Qual. Reliab. Eng. Int. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Shunxin Wang, Christoph Brune, Raymond N. J. Veldhuis, Nicola Strisciuglio DFM-X: Augmentation by Leveraging Prior Knowledge of Shortcut Learning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Chunmian Lin, Daxin Tian, Xuting Duan, Jianshan Zhou, Dezong Zhao, Dongpu Cao 3D-DFM: Anchor-Free Multimodal 3-D Object Detection With Dynamic Fusion Module for Autonomous Driving. Search on Bibsonomy IEEE Trans. Neural Networks Learn. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Da-Wei Huang, Ying-Jie Jiang, Shao-Yun Fang Spacing Cost-aware Optimal and Efficient Mixed-Cell-Height Detailed Placement for DFM Considerations. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Shunxin Wang, Christoph Brune, Raymond N. J. Veldhuis, Nicola Strisciuglio DFM-X: Augmentation by Leveraging Prior Knowledge of Shortcut Learning. Search on Bibsonomy ICCV (Workshops) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Fenfang Zeng, Wei Zheng, Huachang Wang Research and application of feature-based product process review software DFM. Search on Bibsonomy EITCE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Guangyou Zhou, Zhiwen Xie, Zongfu Yu, Jimmy Xiangji Huang DFM: A parameter-shared deep fused model for knowledge base question answering. Search on Bibsonomy Inf. Sci. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Ufuk Efe, Kutalmis Gokalp Ince, A. Aydin Alatan DFM: A Performance Baseline for Deep Feature Matching. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
22Ufuk Efe, Kutalmis Gokalp Ince, A. Aydin Alatan DFM: A Performance Baseline for Deep Feature Matching. Search on Bibsonomy CVPR Workshops The full citation details ... 2021 DBLP  BibTeX  RDF
22Mayler G. A. Martins, Samuel N. Pagliarini, Mehmet Meric Isgenc, Lawrence T. Pileggi From Virtual Characterization to Test-Chips: DFM Analysis Through Pattern Enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Xin Fang, Rui Min, Zongjie Cao, Yiming Pi High-order RM and DFM correction method for long-time coherent integration of highly maneuvering target. Search on Bibsonomy Signal Process. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Zeyu Sun, Zhiguo Lv, Yue Hou, Chen Xu, Ben Yan MR-DFM: A multi-path routing algorithm based on data fusion mechanism in sensor networks. Search on Bibsonomy Comput. Sci. Inf. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Nikolay Ryzhenko, Steven M. Burns, Anton Sorokin, Mikhail Talalay Pin Access-Driven Design Rule Clean and DFM Optimized Routing of Standard Cells under Boolean Constraints. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Daifeng Guo Algorithms for DFM in electronic design automation Search on Bibsonomy 2019   RDF
22Ming Chen 0003, Fei Dai 0008, Huibin Wang, Lei Lei DFM: A Distributed Flocking Model for UAV Swarm Networks. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Vikas Tripathi, Valerio Perez, Yongfu Li 0003, Zhao Chuan Lee, I-Lun Tseng, Jonathan Ong Context-Aware DFM Rule Analysis and Scoring Using Machine Learning. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
22Vikas Tripathi, Yongfu Li 0003, Zhao Chuan Lee, I-Lun Tseng, Jason Khaw, Jonathan Ong In Design DFM Rule Scoring and Fixing Method using ICV. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
22Sebastian B. M. Bosma, Hadi Hajibeygi, Matei Tene, Hamdi A. Tchelepi Multiscale finite volume method for discrete fracture modeling on unstructured grids (MS-DFM). Search on Bibsonomy J. Comput. Phys. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Ronald Shawn Blanton, Fa Wang, Cheng Xue, Pranab K. Nag, Yang Xue, Xin Li 0001 DFM Evaluation Using IC Diagnosis Data. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Chun-Chen Liu, Oscar Lau, Jason Y. Du Complete DFM Model for High-Performance Computing SoCs with Guard Ring and Dummy Fill Effect. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
22Samuel Pagliarini, Mayler G. A. Martins, Lawrence T. Pileggi Virtual characterization for exhaustive DFM evaluation of logic cell libraries. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Arani Sinha, Sujay Pandey, Ayush Singhal, Alodeep Sanyal, Alan Schmaltz DFM-aware fault model and ATPG for intra-cell and inter-cell defects. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Nokhwezi Mjanyelwa, Zaid A. Bello, Willnerie Greaves, Leon D. van Rensburg Precision and accuracy of DFM soil water capacitance probes to measure temperature. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Li-Chung Hsu, Yasuhiro Take, Atsutake Kosuge, So Hasegawa, Junichiro Kadamoto, Tadahiro Kuroda Design and analysis for ThruChip design for manufacturing (DFM). Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Haitham Eissa, Rami Fathy Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Mohamed Dessouky, David Nairn, Mohab H. Anis Parametric DFM Solution for Analog Circuits: Electrical-Driven Hotspot Detection, Analysis, and Correction Flow. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Ronald D. Blanton, Fa Wang, Cheng Xue, Pranab K. Nag, Yang Xue, Xin Li 0001 DREAMS: DFM rule EvAluation using manufactured silicon. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Jake Buurma, Robert Sayah, Fred Valente, Cathy Rodgers OpenDFM Bridging the Gap Between DRC and DFM. Search on Bibsonomy IEEE Des. Test The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Srikanth Venkataraman, Nagesh Tamarapalli Tutorial T3: DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Olivier Kerbrat, Pascal Mognol, Jean-Yves Hascoët A new DFM approach to combine machining and additive manufacturing. Search on Bibsonomy Comput. Ind. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Olivier Kerbrat, Pascal Mognol, Jean-Yves Hascoët A new DFM approach to combine machining and additive manufacturing Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
22Rami Fathy Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, David Nairn, Mohab H. Anis An electrical-aware parametric DFM solution for analog circuits. Search on Bibsonomy IDT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Rami F. Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, David Nairn, Mohab H. Anis A parametric DFM solution for analog circuits: Electrical driven hot spot detection, analysis and correction flow. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Savithri Sundareswaran, Robert L. Maziasz, Vladimir Rozenfeld, Mikhail Sotnikov, Mukhanov Konstantin A sensitivity-aware methodology to improve cell layouts for DFM guidelines. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22S. M. Stalin, Amit Brahme, Ramakrishnan Venkatraman, Ajoy Mandal DFM: Impact analysis in a high performance design. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Yin Shen, Qiang Zhou 0001, Yici Cai, Xianlong Hong ECP- and CMP-Aware Detailed Routing Algorithm for DFM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Jui-Hsiang Liu, Ming-Feng Tsai, Lumdo Chen, Charlie Chung-Ping Chen Accurate and Analytical Statistical Spatial Correlation Modeling Based on Singular Value Decomposition for VLSI DFM Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Rami F. Salem, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, Mohab H. Anis A DFM tool for analyzing lithography and stress effects on standard cells and critical path performance in 45nm digital designs. Search on Bibsonomy IDT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 220 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license