|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 229 occurrences of 108 keywords
|
|
|
Results
Found 220 publication records. Showing 220 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
113 | Mark Redford, Joseph Sawicki, Prasad Subramaniam, Cliff Hou, Yervant Zorian, Kimon Michaels |
DFM: don't care or competitive weapon? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 296-297, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
RDR, physical verification, sign-off, yield, DFM, design-for-manufacturing, design rules, manufacturing variability, DRC |
107 | Lionel Riviere-Cazaux, Kevin Lucas, Jon Fitch |
Integration Of Design For Manufacturability (DFM) Practices In Design Flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 102-106, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
93 | Vijay Pitchumani |
A Hitchhiker's Guide to the DFM Universe. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1103-1106, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
84 | Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh |
DFM in practice: hit or hype? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 898-899, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
critical area analysis, CMP, yield, DFM, OPC, lithography |
84 | Shishpal Rawat, Raul Camposano, Andrew B. Kahng, Joseph Sawicki, Mike Gianfagna, Naeem Zafar, Atul Sharan |
DFM: where's the proof of value? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 1061-1062, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
ROI, DFM, design for manufacture, OPC, RET, yield optimization, design for yield |
79 | Artur Balasinski |
Question: DRC or DfM ? Answer: FMEA and ROI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 789-794, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
70 | Shi-Hao Chen, Ke-Cheng Chu, Jiing-Yuan Lin, Cheng-Hong Tsai |
DFM/DFY practices during physical designs for timing, signal integrity, and power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 232-237, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
0.13 micron, DFY, dynamic IR drop, process variation, physical designs, DFM, design for manufacturability, signal integrity, timing integrity, yield analysis, design for yield |
70 | Matt Nowak, Riko Radojcic |
Are there economic benefits in DFM? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 767-768, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
fabless, foundries, DFM, design for manufacturability |
65 | Marco Casale-Rossi, Andrzej J. Strojwas, Robert C. Aitken, Antun Domic, Carlo Guardiani, Philippe Magarshack, Douglas Pattullo, Joseph Sawicki |
DFM/DFY: should you trust the surgeon or the family doctor? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 439-442, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
65 | Xianlong Hong, Yici Cai, Hailong Yao, Duo Li |
DFM-aware Routing for Yield Enhancement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1091-1094, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
65 | Jingtao Yang, Corina Cîrstea, Peter Henderson 0001 |
An Operational Semantics for DFM, a Formal Notation for Modelling Asynchronous Web Services Coordination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: Fifth International Conference on Quality Software (QSIC 2005), 19-20 September 2005, Melbourne, Australia, pp. 446-451, 2005, IEEE Computer Society, 0-7695-2472-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
65 | Michel Houtermans, George E. Apostolakis, Aarnout Brombacher, Dimitrios Karydas |
Programmable Electronic System Design & Verification Utilizing DFM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAFECOMP ![In: Computer Safety, Reliability and Security, 19th International Conference, SAFECOMP 2000, Rotterdam, The Netherlands, October 24-27, 2000, Proceedings, pp. 275-285, 2000, Springer, 3-540-41186-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
62 | Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi |
Creating an affordable 22nm node using design-lithography co-optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 95-96, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
design technology co-optimization, templates, DFM, regular fabric |
62 | Qi Lin, Mei Ma, Tony Vo, Jenny Fan, Xin Wu, Richard Li, Xiao-Yu Li |
Design-for-Manufacture for Multi Gate Oxide CMOS Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 339-343, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multi gate oxide, FPGA, layout, yield, DFM |
61 | YuHua Cheng |
A glance of technology efforts for design-for-manufacturing in nano-scale CMOS processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 51(6), pp. 807-818, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
nano-CMOS IC design, IC design methodology, CMOS design technology platform, design-for-manufacturing (DFM), design-for-yield |
60 | |
Proceedings of the Sixth Workshop on Data-Flow Execution Models for Extreme Scale Computing, DFM@PACT 2016, Haifa, Israel, September 15, 2016 ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFM@PACT ![ACM, 978-1-4503-6199-6 The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
60 | Justin M. Wozniak, Michael Wilde, Ian T. Foster |
Challenges and Opportunities for Dataflow Processing on Exascale Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFM@PACT ![In: Proceedings of the Sixth Workshop on Data-Flow Execution Models for Extreme Scale Computing, DFM@PACT 2016, Haifa, Israel, September 15, 2016, pp. 4:1-4:5, 2016, ACM, 978-1-4503-6199-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
60 | Zoran Budimlic, Kathleen Knobe |
CnC: A Dependence Programming Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFM@PACT ![In: Proceedings of the Sixth Workshop on Data-Flow Execution Models for Extreme Scale Computing, DFM@PACT 2016, Haifa, Israel, September 15, 2016, pp. 3:1-3:8, 2016, ACM, 978-1-4503-6199-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
60 | Kumud Bhandari, Vivek Sarkar |
Tree-based Read-only Data Chunks for NVRAM Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFM@PACT ![In: Proceedings of the Sixth Workshop on Data-Flow Execution Models for Extreme Scale Computing, DFM@PACT 2016, Haifa, Israel, September 15, 2016, pp. 2:1-2:8, 2016, ACM, 978-1-4503-6199-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
60 | George Matheou, Costas Kyriacou, Paraskevas Evripidou |
Data-Driven execution of the Tile LU Decomposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFM@PACT ![In: Proceedings of the Sixth Workshop on Data-Flow Execution Models for Extreme Scale Computing, DFM@PACT 2016, Haifa, Israel, September 15, 2016, pp. 1:1-1:8, 2016, ACM, 978-1-4503-6199-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
57 | Charles H. Ouyang, Hans T. Heineken, Jitendra Khare, Saghir A. Shaikh, Manuel d'Abreu |
Maximizing Wafer Productivity Through Layout Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 192-197, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
wafer productivity, die cost, interconnect cost, manufacturability, yield, design for manufacturability |
56 | Yin Shen, Yici Cai, Qiang Zhou 0001, Xianlong Hong |
DFM Based Detailed Routing Algorithm for ECP and CMP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 357-360, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
ECP, CMP, DFM, detailed routing |
56 | Jamil Kawa, Charles C. Chiang |
DFM issues for 65nm and beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 318-322, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
DFY, DFM |
56 | Greg Yeric, Ethan Cohen, John Garcia, Kurt Davis, Esam Salem, Gary Green |
Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(3), pp. 232-239, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
systematic yield loss, test structure, BEOL, DFM, process monitoring, silicon debug, infrastructure IP |
56 | Juan Antonio Carballo, Yervant Zorian, Raul Camposano, Andrzej J. Strojwas, John Kibarian, Dennis Wassung, Alex Alexanian, Steve Wigley, Neil Kelly |
Guest Editors' Introduction: DFM Drives Changes in Design Flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(3), pp. 200-205, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Design for manufacturability, DFM |
56 | Kees Veelenturf |
The Road to Better Reliability and Yield Embedded DfM Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 67-68, 2000, IEEE Computer Society / ACM, 0-7695-0537-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
wire spreading, yield prediction, yield improvement, DfM |
51 | Resve A. Saleh, Pallab K. Chatterjee, Ivan Pesic, Robbert Dobkins, Mike Smayling, Joseph Sawicki |
DFM-EDA's Salvation or its Excuse for Being out of Touch with Engineering? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 7-8, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
51 | Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp |
DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 387-392, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
51 | Robert C. Aitken |
DFM Metrics for Standard Cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 491-496, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
51 | Artur Balasinski |
DfM for SoC, invited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 5th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC 2005), 20-24 July 2004, Banff, Alberta, Canada, pp. 41-46, 2005, IEEE Computer Society, 0-7695-2403-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
48 | Stephen P. Kornachuk, Michael C. Smayling |
New strategies for gridded physical design for 32nm technologies and beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 61-62, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm |
48 | C. Tabery, M. Craig, Gert Burbach, B. Wagner, S. McGowan, P. Etter, S. Roling, C. Haidinyak, E. Ehrichs |
Process Window and Device Variations Evaluation using Array-Based Characterization Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 260-265, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
transistor array, transistor matching, via yield, DOE ROM, novel test circuits, DFM |
47 | Musa Alci |
New dynamic fuzzy structure and dynamic system identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 10(2), pp. 87-93, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Dynamic fuzzy module (DFM), Non-linear dynamic system, System identification |
47 | Jay Jahangiri, David Abercrombie |
Value-Added Defect Testing Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(3), pp. 224-231, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
advanced design-for-manufacturability, DFM test methods, defect testing techniques |
45 | Robert C. Aitken, David Pietromonaco, Brian Cline |
DFM is dead - Long live DFM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 32nd IEEE International Conference on Computer Design, ICCD 2014, Seoul, South Korea, October 19-22, 2014, pp. 300-307, 2014, IEEE Computer Society, 978-1-4799-6492-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
45 | Wing Chiu Tam, R. D. (Shawn) Blanton |
To DFM or not to DFM? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011, pp. 65-70, 2011, ACM, 978-1-4503-0636-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
45 | Tets Maniwa, Pallab K. Chatterjee |
Evening Panel Discussion: DFM PDK's: Where Do They Belong To? Are Process Design Kits (PDKs) the Answer for Modern Design for Manufacturing (DFM) Issues? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 5th International Symposium on Quality of Electronic Design (ISQED 2004), 22-24 March 2004, San Jose, CA, USA, pp. 11-13, 2004, IEEE Computer Society, 0-7695-2093-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
42 | Fadi J. Kurdahi, Nikil D. Dutt, Ahmed M. Eltawil, Sani R. Nassif |
Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 14-15, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Gabriel M. Silberman, Ilan Y. Spillinger |
Using functional fault simulation and the difference fault model to estimate implementation fault coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(12), pp. 1335-1343, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
42 | Yongchan Ban, Savithri Sundareswaran, David Z. Pan |
Total sensitivity based dfm optimization of standard library cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 113-120, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
optimization, VLSI, sensitivity, DFM, lithography |
42 | N. Verghese, P. Hurat |
DFM reality in sub-nanometer IC design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 226-231, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
EDA solutions, subnanometer IC design, catastrophic failures, systematic manufacturing variations, subnanometer manufacturing variations, DFM, design for manufacturing, parametric failures |
42 | Carlo Guardiani, Massimo Bertoletti, Nicola Dragone, Marco Malcotti, Patrick McNamara |
An effective DFM strategy requires accurate process and IP pre-characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 760-761, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
test chips, yield, DFM, yield models |
37 | Jun-Fu Huang, Victor C. Y. Chang, Sally Liu, Kelvin Y. Y. Doong, Keh-Jeng Chang |
Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 221-225, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
37 | David Cross, Eric Nequist, Louis Scheffer |
A DFM aware, space based router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 171-172, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
37 | Anne E. Gattiker |
IC failure mechanisms yesterday, today, tomorrow: implications from test to DFM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 47, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Yongsik Kim, Taesoo Lim, Dongsoo Kim, Cheol Jung, Honggee Jin |
Process-Oriented DFM System for Ubiquitous Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part IV, pp. 687-696, 2006, Springer, 3-540-34077-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Charles C. Chiang, Jamil Kawa |
Three DFM Challenges: Random Defects, Thickness Variation, and Printability Variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1099-1102, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Naveed A. Sherwani, Susan Lippincott Mack, Alex Alexanian, Premal Buch, Carlo Guardiani, Harold Lehon, Peter Rabkin, Atul Sharan |
DFM rules! ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 168-169, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
design for manufacturability, yield optimization |
37 | Pradiptya Ghosh, Chung-shin Kang, Michael Sanie, David Pinto 0003 |
New DFM Approach Abstracts AltPSM Lithography Requirements for sub-100nm IC Design Domains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 4th International Symposium on Quality of Electronic Design (ISQED 2003), 24-26 March 2003, San Jose, CA, USA, pp. 131-137, 2003, IEEE Computer Society, 0-7695-1881-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
34 | Sachin S. Sapatnekar |
Building your yield of dreams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 25(2), pp. 194-195, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
nanoscale, modeling variations, CMOS, yield, design for manufacturability, DFM |
34 | Young-Gu Kim, Soo-Hwan Kim, Hoon Lim, Sanghoon Lee, Keun-Ho Lee, Young-Kwan Park, Moon-Hyun Yoo |
The Statistical Failure Analysis for the Design of Robust SRAM in Nano-Scale Era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 369-372, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Statistical failure analysis, DFM, SRAM |
34 | Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja |
Yield-Driven, False-Path-Aware Clock Skew Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(3), pp. 214-222, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
performance-related circuit yield loss, circuit-level parameters, DFM, clock skew scheduling |
33 | Kevin Lucas, Chi-Min Yuan, Robert Boone, Karl Wimmer, Kirk Strozewski, Olivier Toublan |
Logic Design for Printability Using OPC Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(1), pp. 30-37, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
optical proximity correction (OPC), reticle enhancement technology (RET), design for manufacturability (DFM) |
33 | Daniel N. Maynard |
Productivity Optimization Techniques for the Proactive Semiconductor Manufacturer (invited). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002, pp. 189, 2002, IEEE Computer Society, 0-7695-1561-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Modeling, Productivity, Design for Manufacturing (DFM), Characterization, Checking |
28 | David Z. Pan |
Synergistic modeling and optimization for nanometer IC design/manufacturing integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2008, Gramado, Brazil, September 1-4, 2008, pp. 2, 2008, ACM, 978-1-60558-231-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
design for manufacturing |
28 | Anne Gattiker |
Using test data to improve IC quality and yield. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 771-777, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Nagesh Nagapalli |
DFT and Test: Ensuring Product Quality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 5, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Vijay Pitchumani |
Embedded tutorial I: design for manufacturability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla, David Berner |
Extreme Formal Modeling (XFM) for Hardware Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: Fifth International Workshop on Microprocessor Test and Verification (MTV 2004), Common Challenges and Solutions, 08-10 September 2004, Austin, Texas, USA, pp. 30-35, 2004, IEEE Computer Society, 0-7695-2320-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Sani R. Nassif, Duane S. Boning, Nagib Hakim |
The care and feeding of your statistical static timer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 138-139, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Keh-Jeng Chang |
Accurate On-Chip Variation Modeling to Achieve Design for Manufacturability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 4th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'04), 19-21 July 2004, Banff, Alberta, Canada, pp. 219-222, 2004, IEEE Computer Society, 0-7695-2182-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Ge Cong, Bahram Parvin |
A New Regularized Approach for Contour Morphing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: 2000 Conference on Computer Vision and Pattern Recognition (CVPR 2000), 13-15 June 2000, Hilton Head, SC, USA, pp. 1458-1463, 2000, IEEE Computer Society, 0-7695-0662-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Fabian Walke, Florian Ulmke, Till J. Winkler |
Making Digital File Management Successful: A Grounded Model of DFM Adoption in the Public Sector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 57th Hawaii International Conference on System Sciences, HICSS 2024, Hilton Hawaiian Village Waikiki Beach Resort, Hawaii, USA, January 3-6, 2024, pp. 1943-1952, 2024, ScholarSpace, 978-0-9981331-7-1. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP BibTeX RDF |
|
22 | Vipul Garg, Gopika Vinod, Mahendra Prasad, T. V. Santhosh, N. B. Shrestha, J. Chattopadhyay |
Hybrid DFM - Petri net approach for dynamic reliability analysis of smart transmitters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Qual. Reliab. Eng. Int. ![In: Qual. Reliab. Eng. Int. 39(6), pp. 2438-2453, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Shunxin Wang, Christoph Brune, Raymond N. J. Veldhuis, Nicola Strisciuglio |
DFM-X: Augmentation by Leveraging Prior Knowledge of Shortcut Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2308.06622, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Chunmian Lin, Daxin Tian, Xuting Duan, Jianshan Zhou, Dezong Zhao, Dongpu Cao |
3D-DFM: Anchor-Free Multimodal 3-D Object Detection With Dynamic Fusion Module for Autonomous Driving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Neural Networks Learn. Syst. ![In: IEEE Trans. Neural Networks Learn. Syst. 34(12), pp. 10812-10822, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Da-Wei Huang, Ying-Jie Jiang, Shao-Yun Fang |
Spacing Cost-aware Optimal and Efficient Mixed-Cell-Height Detailed Placement for DFM Considerations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023, pp. 1-8, 2023, IEEE, 979-8-3503-2225-5. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Shunxin Wang, Christoph Brune, Raymond N. J. Veldhuis, Nicola Strisciuglio |
DFM-X: Augmentation by Leveraging Prior Knowledge of Shortcut Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCV (Workshops) ![In: IEEE/CVF International Conference on Computer Vision, ICCV 2023 - Workshops, Paris, France, October 2-6, 2023, pp. 129-138, 2023, IEEE, 979-8-3503-0744-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Fenfang Zeng, Wei Zheng, Huachang Wang |
Research and application of feature-based product process review software DFM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EITCE ![In: Proceedings of the 2022 6th International Conference on Electronic Information Technology and Computer Engineering, EITCE 2022, Xiamen, China, October 21-23, 2022., pp. 922-927, 2022, ACM, 978-1-4503-9714-8. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Guangyou Zhou, Zhiwen Xie, Zongfu Yu, Jimmy Xiangji Huang |
DFM: A parameter-shared deep fused model for knowledge base question answering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Sci. ![In: Inf. Sci. 547, pp. 103-118, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Ufuk Efe, Kutalmis Gokalp Ince, A. Aydin Alatan |
DFM: A Performance Baseline for Deep Feature Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2106.07791, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
22 | Ufuk Efe, Kutalmis Gokalp Ince, A. Aydin Alatan |
DFM: A Performance Baseline for Deep Feature Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR Workshops ![In: IEEE Conference on Computer Vision and Pattern Recognition Workshops, CVPR Workshops 2021, virtual, June 19-25, 2021, pp. 4284-4293, 2021, Computer Vision Foundation / IEEE, 978-1-6654-4899-4. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
22 | Mayler G. A. Martins, Samuel N. Pagliarini, Mehmet Meric Isgenc, Lawrence T. Pileggi |
From Virtual Characterization to Test-Chips: DFM Analysis Through Pattern Enumeration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(2), pp. 520-532, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Xin Fang, Rui Min, Zongjie Cao, Yiming Pi |
High-order RM and DFM correction method for long-time coherent integration of highly maneuvering target. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Signal Process. ![In: Signal Process. 162, pp. 221-233, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Zeyu Sun, Zhiguo Lv, Yue Hou, Chen Xu, Ben Yan |
MR-DFM: A multi-path routing algorithm based on data fusion mechanism in sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Sci. Inf. Syst. ![In: Comput. Sci. Inf. Syst. 16(3), pp. 867-890, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Nikolay Ryzhenko, Steven M. Burns, Anton Sorokin, Mikhail Talalay |
Pin Access-Driven Design Rule Clean and DFM Optimized Routing of Standard Cells under Boolean Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2019 International Symposium on Physical Design, ISPD 2019, San Francisco, CA, USA, April 14-17, 2019, pp. 41-47, 2019, ACM, 978-1-4503-6253-5. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Daifeng Guo |
Algorithms for DFM in electronic design automation ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2019 |
RDF |
|
22 | Ming Chen 0003, Fei Dai 0008, Huibin Wang, Lei Lei |
DFM: A Distributed Flocking Model for UAV Swarm Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 6, pp. 69141-69150, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Vikas Tripathi, Valerio Perez, Yongfu Li 0003, Zhao Chuan Lee, I-Lun Tseng, Jonathan Ong |
Context-Aware DFM Rule Analysis and Scoring Using Machine Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1808.05999, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
22 | Vikas Tripathi, Yongfu Li 0003, Zhao Chuan Lee, I-Lun Tseng, Jason Khaw, Jonathan Ong |
In Design DFM Rule Scoring and Fixing Method using ICV. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1805.10016, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
22 | Sebastian B. M. Bosma, Hadi Hajibeygi, Matei Tene, Hamdi A. Tchelepi |
Multiscale finite volume method for discrete fracture modeling on unstructured grids (MS-DFM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Phys. ![In: J. Comput. Phys. 351, pp. 145-164, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Ronald Shawn Blanton, Fa Wang, Cheng Xue, Pranab K. Nag, Yang Xue, Xin Li 0001 |
DFM Evaluation Using IC Diagnosis Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(3), pp. 463-474, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Chun-Chen Liu, Oscar Lau, Jason Y. Du |
Complete DFM Model for High-Performance Computing SoCs with Guard Ring and Dummy Fill Effect. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1701.00460, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
22 | Samuel Pagliarini, Mayler G. A. Martins, Lawrence T. Pileggi |
Virtual characterization for exhaustive DFM evaluation of logic cell libraries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 18th International Symposium on Quality Electronic Design, ISQED 2017, Santa Clara, CA, USA, March 14-15, 2017, pp. 93-98, 2017, IEEE, 978-1-5090-5404-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Arani Sinha, Sujay Pandey, Ayush Singhal, Alodeep Sanyal, Alan Schmaltz |
DFM-aware fault model and ATPG for intra-cell and inter-cell defects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: IEEE International Test Conference, ITC 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017, pp. 1-10, 2017, IEEE, 978-1-5386-3413-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Nokhwezi Mjanyelwa, Zaid A. Bello, Willnerie Greaves, Leon D. van Rensburg |
Precision and accuracy of DFM soil water capacitance probes to measure temperature. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Electron. Agric. ![In: Comput. Electron. Agric. 125, pp. 125-128, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Li-Chung Hsu, Yasuhiro Take, Atsutake Kosuge, So Hasegawa, Junichiro Kadamoto, Tadahiro Kuroda |
Design and analysis for ThruChip design for manufacturing (DFM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: The 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Chiba, Japan, January 19-22, 2015, pp. 46-47, 2015, IEEE, 978-1-4799-7792-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Haitham Eissa, Rami Fathy Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Mohamed Dessouky, David Nairn, Mohab H. Anis |
Parametric DFM Solution for Analog Circuits: Electrical-Driven Hotspot Detection, Analysis, and Correction Flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 21(5), pp. 807-820, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Ronald D. Blanton, Fa Wang, Cheng Xue, Pranab K. Nag, Yang Xue, Xin Li 0001 |
DREAMS: DFM rule EvAluation using manufactured silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013, pp. 99-106, 2013, IEEE, 978-1-4799-1069-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Jake Buurma, Robert Sayah, Fred Valente, Cathy Rodgers |
OpenDFM Bridging the Gap Between DRC and DFM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test ![In: IEEE Des. Test 29(6), pp. 84-90, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
22 | Srikanth Venkataraman, Nagesh Tamarapalli |
Tutorial T3: DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012, pp. 16-17, 2012, IEEE Computer Society, 978-1-4673-0438-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
22 | Olivier Kerbrat, Pascal Mognol, Jean-Yves Hascoët |
A new DFM approach to combine machining and additive manufacturing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Ind. ![In: Comput. Ind. 62(7), pp. 684-692, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Olivier Kerbrat, Pascal Mognol, Jean-Yves Hascoët |
A new DFM approach to combine machining and additive manufacturing ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1106.3176, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
22 | Rami Fathy Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, David Nairn, Mohab H. Anis |
An electrical-aware parametric DFM solution for analog circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDT ![In: 6th IEEE International Design and Test Workshop, IDT 2011, Beirut, Lebanon, 11-14 December 2011, pp. 68-73, 2011, IEEE, 978-1-4673-0468-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Rami F. Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, David Nairn, Mohab H. Anis |
A parametric DFM solution for analog circuits: Electrical driven hot spot detection, analysis and correction flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, September 26-28, 2011, pp. 231-236, 2011, IEEE, 978-1-4577-1616-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Savithri Sundareswaran, Robert L. Maziasz, Vladimir Rozenfeld, Mikhail Sotnikov, Mukhanov Konstantin |
A sensitivity-aware methodology to improve cell layouts for DFM guidelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011, Santa Clara, California, USA, 14-16 March 2011, pp. 431-436, 2011, IEEE, 978-1-61284-914-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | S. M. Stalin, Amit Brahme, Ramakrishnan Venkatraman, Ajoy Mandal |
DFM: Impact analysis in a high performance design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011, Santa Clara, California, USA, 14-16 March 2011, pp. 110-115, 2011, IEEE, 978-1-61284-914-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Yin Shen, Qiang Zhou 0001, Yici Cai, Xianlong Hong |
ECP- and CMP-Aware Detailed Routing Algorithm for DFM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 18(1), pp. 153-157, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
22 | Jui-Hsiang Liu, Ming-Feng Tsai, Lumdo Chen, Charlie Chung-Ping Chen |
Accurate and Analytical Statistical Spatial Correlation Modeling Based on Singular Value Decomposition for VLSI DFM Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(4), pp. 580-589, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
22 | Rami F. Salem, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, Mohab H. Anis |
A DFM tool for analyzing lithography and stress effects on standard cells and critical path performance in 45nm digital designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDT ![In: 5th International Design and Test Workshop, IDT 2010, Abu Dhabi, UAE, 14-15 December 2010, pp. 13-17, 2010, IEEE, 978-1-61284-291-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 220 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ >>] |
|