The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1988 (19) 1989-1992 (18) 1993-1995 (19) 1996-1997 (27) 1998 (20) 1999 (30) 2000 (34) 2001 (32) 2002 (50) 2003 (49) 2004 (57) 2005 (51) 2006 (58) 2007 (63) 2008 (52) 2009 (25) 2010-2011 (16) 2012-2013 (18) 2014-2015 (20) 2016-2017 (20) 2018-2019 (24) 2020-2021 (24) 2022-2023 (20)
Publication types (Num. hits)
article(156) inproceedings(584) phdthesis(6)
Venues (Conferences, Journals, ...)
ISCA(37) MICRO(36) HPCA(22) IEEE Trans. Computers(21) CoRR(16) IEEE PACT(13) IPDPS(12) ASPLOS(10) ICCD(10) ICS(10) ISLPED(10) PACT(10) Euro-Par(9) ICPP(9) ACM Trans. Archit. Code Optim.(8) Asia-Pacific Computer Systems ...(7) More (+10 of total 336)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 731 occurrences of 466 keywords

Results
Found 747 publication records. Showing 746 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
129Ayose Falcón, Alex Ramírez, Mateo Valero A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
121Stephen Roderick Hines, Yuval Peress, Peter Gavin, David B. Whalley, Gary S. Tyson Guaranteeing instruction fetch behavior with a lookahead instruction fetch engine (LIFE). Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF l0/filter cache, lookahead instruction fetch engine (life), tagless hit instruction cache (th-ic)
120Pierre Michaud, André Seznec, Stéphan Jourdan Exploring Instruction-Fetch Bandwidth Requirement in Wide-Issue Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction-level parallelism, branch prediction, superscalar processors, instruction fetch
103Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt Alternative Fetch and Issue Policies for the Trace Cache Fetch Mechanism. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high bandwidth fetch mechanisms, wide issue machines, inactive issue, speculative execution, trace cache, partial matching
93Minxuan Zhang, Caixia Sun Enhancing DCache Warn Fetch Policy for SMT Processors. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF L2 cache miss, I-fetch Policy, Fetch Priority, Resource Allocation, SMT
93Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures
83Oliverio J. Santana, Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero A low-complexity fetch architecture for high-performance superscalar processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fetch architecture, instruction stream, high performance, Branch prediction, low complexity
83Alex Ramírez, Josep Lluís Larriba-Pey, Carlos Navarro, Xavi Serrano, Mateo Valero, Josep Torrellas Optimization of Instruction Fetch for Decision Support Workloads. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High performance fetch, databases, profiling, compiler optimization, trace cache
82Michele Co, Dee A. B. Weikle, Kevin Skadron Evaluating trace cache energy efficiency. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fetch engine energy efficiency, Trace cache
82Alex Ramírez, Oliverio J. Santana, Josep Lluís Larriba-Pey, Mateo Valero Fetching instruction streams. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Systems Application Architecture
74Stijn Eyerman, Lieven Eeckhout Memory-level parallelism aware fetch policies for simultaneous multithreading processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fetch Policy, Simultaneous Multithreading (SMT), Memory-Level Parallelism (MLP)
74Daniel Chaver, Miguel A. Rojas, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado, Michael C. Huang 0001 Energy-aware fetch mechanism: trace cache and BTB customization. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive, profiling, instruction fetch
74Caixia Sun, Hong-Wei Tang, Minxuan Zhang A Fetch Policy Maximizing Throughput and Fairness for Two-Context SMT Processors. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
74Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Cool-Fetch: A Compiler-Enabled IPC Estimation Based Framework for Energy Reduction. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
74Paramjit S. Oberoi, Gurindar S. Sohi Out-of-Order Instruction Fetch Using Multiple Sequencers. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
74P.-H. Chang, Wen-mei W. Hwu Forward semantic: a compiler-assisted instruction fetch method for heavily pipelined processors. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
73Oliverio J. Santana, Alex Ramírez, Mateo Valero Enlarging Instruction Streams. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Superscalar processor design, branch prediction, code optimization, instruction fetch, access latency
73Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Software Trace Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler optimizations, branch prediction, Pipeline processors, trace cache, instruction fetch
66Aditya Dua, Nicholas Bambos, Jatinder Pal Singh Performance tradeoffs in mobile computing: to fetch or not to fetch? Search on Bibsonomy MOBIWAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mobile computing, dynamic programming, buffer management, tandem queues
65Robert Yung Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor
65Soner Önder, Jun Xu, Rajiv Gupta 0001 Caching and Predicting Branch Sequences for Improved Fetch Effectiveness. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch sequence prediction, sequence table, fetch bandwidth, speculative execution
65Stephen Roderick Hines, Gary S. Tyson, David B. Whalley Addressing instruction fetch bottlenecks by using an instruction register file. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF L0/filter cache, instruction packing, instruction register file
65Caixia Sun, Hong-Wei Tang, Minxuan Zhang Controlling Performance of a Time-Criticial Thread in SMT Processors by Instruction Fetch Policy. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
65Oliverio J. Santana, Alex Ramírez, Mateo Valero Reducing Fetch Architecture Complexity Using Procedure Inlining. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
65Juan C. Moure, Dolores Rexachs, Emilio Luque Optimizing a Decoupled Front-End Architecture: The Indexed Fetch Target Buffer (iFTB). Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
65Alper Buyuktosunoglu, Tejas Karkhanis, David H. Albonesi, Pradip Bose Energy Efficient Co-Adaptive Instruction Fetch and Issue. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
65Gurindar S. Sohi, James E. Smith 0001, James R. Goodman Restricted Fetch&Phi operations for parallel processing. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
64Han-Xin Sun, Kun-Peng Yang, Yulai Zhao 0003, Dong Tong 0001, Xu Cheng 0001 CASA: A New IFU Architecture for Power-Efficient Instruction Cache and TLB Designs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction TLB, instruction fetch unit, power-efficient design, computer architecture, dynamic voltage scaling, instruction cache
64Glenn Reinman, Brad Calder, Todd M. Austin Optimizations Enabled by a Decoupled Front-End Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fetch architectures, branch prediction, Decoupled architectures, instruction prefetching
64Afzal Hossain, Daniel J. Pease, James S. Burns, Nasima Parveen A Mathematical Model of Trace Cache. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
57Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Cool-Fetch: Compiler-Enabled Power-Aware Fetch Throttling. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction Ievel parallelism, fetch-throttling, Low power design, compiler architecture interaction
56Eric L. Hill, Mikko H. Lipasti Stall cycle redistribution in a transparent fetch pipeline. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline gating, microarchitecture, dynamic power, instruction fetch
56Francisco J. Cazorla, Enrique Fernández, Alex Ramírez, Mateo Valero Improving Memory Latency Aware Fetch Policies for SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fetch policy, long latency loads, load miss predictors, multithreading, SMT
56Sanjay J. Patel, Daniel H. Friendly, Yale N. Patt Evaluation of Design Options for the Trace Cache Fetch Mechanism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High bandwidth fetch mechanisms, wide issue machines, speculative execution, instruction cache, trace cache
56Emre Özer 0001, Ronald G. Dreslinski, Trevor N. Mudge, Stuart Biles, Krisztián Flautner Energy-Efficient Simultaneous Thread Fetch from Different Cache Levels in a Soft Real-Time SMT Processor. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-time, Energy Efficiency, Caches, Embedded Processors, SMT
56Stijn Eyerman, Lieven Eeckhout A Memory-Level Parallelism Aware Fetch Policy for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Bernhard Fechner A Fault-Tolerant Dynamic Fetch Policy for SMT Processors in Multi-Bus Environments. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Juan L. Aragón, Alexander V. Veidenbaum Energy-Effective Instruction Fetch Unit for Wide Issue Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Juan C. Moure, R. B. García, Dolores Rexachs, Emilio Luque Improving Single-Thread Fetch Performance on a Multithreaded Processor. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56Carlos Navarro, Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero On the Performance of Fetch Engines Running DSS Workloads. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
56Artur Klauser, Dirk Grunwald Instruction Fetch Mechanisms for Multipath Execution Processors. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
56Glenn Reinman, Brad Calder, Todd M. Austin Fetch Directed Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Sreeram Duvvuru, Siamak Arya Evaluation of a branch target address cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes
55Weiyu Tang, Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau A predictive decode filter cache for reducing power consumption in embedded processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cache, embedded processors, power optimization
55Afzal Hossain, Daniel J. Pease, James S. Burns, Nasima Parveen Trace Cache Performance Parameters. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51David M. Martin Jr., Richard M. Smith, Michael Brittain, Ivan Fetch, Hailin Wu The privacy practices of web browser extensions. Search on Bibsonomy Commun. ACM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Andi Ahmad Dahlan, Toshikazu Nishimura Implementation of asynchronous predictive fetch to improve the performance of Ajax-enabled web applications. Search on Bibsonomy iiWAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF predictive fetch, performance, web application, Ajax
47Jude A. Rivers, Sameh W. Asaad, John-David Wellman, Jaime H. Moreno Reducing instruction fetch energy with backwards branch control information and buffering. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF loop buffer, low-power, instruction fetch
47Hans Vandierendonck, André Seznec Fetch Gating Control through Speculative Instruction Window Weighting. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
47Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé Automatic Pre-Fetch and Modulo Scheduling Transformations for the Cell BE Architecture. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cell BE Architecture, Modulo Scheduling, Pre-fetching, Software Cache
47Hans Vandierendonck, André Seznec Fetch Gating Control Through Speculative Instruction Window Weighting. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Chieh-Yih Wan, Andrew T. Campbell, Lakshman Krishnamurthy Pump-slowly, fetch-quickly (PSFQ): a reliable transport protocol for sensor networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Tzung-Rei Yang, Jong-Jiann Shieh Dynamic Fetch Engine for Simultaneous Multithreaded Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47James H. Anderson, Yong-Jik Kim Local-spin Mutual Exclusion Using Fetch-and-\phi Primitives. Search on Bibsonomy ICDCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Heidi Pan, Krste Asanovic Heads and tails: a variable-length instruction format supporting parallel fetch and decode. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Eric Hao, Po-Yung Chang, Marius Evers, Yale N. Patt Increasing the Instruction Fetch Rate via Block-structured Instruction Set Architectures. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
47Thomas M. Conte, Kishore N. Menezes, Patrick M. Mills, Burzin A. Patel Optimization of Instruction Fetch Mechanisms for High Issue Rates. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
46Muhammad Shaaban, Edward Mulrane Improving trace cache hit rates using the sliding window fill mechanism and fill select table. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF branch promotion, fetch mechanisms, fill mechanisms, superscalar processors, cache performance, trace cache
38Reoma Matsuo, Ryota Shioya, Hideki Ando Improving the Instruction Fetch Throughput with Dynamically Configuring the Fetch Pipeline. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
38Pengfei Wang 0010, Jens Krinke, Kai Lu, Gen Li 0002, Steve Dodier-Lazaro How Double-Fetch Situations turn into Double-Fetch Vulnerabilities: A Study of Double Fetches in the Linux Kernel. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2017 DBLP  BibTeX  RDF
38Prithviraj Banerjee, Abhijeet Dugar The Design, Analysis and Simulation of a Fault-Tolerant Interconnection Network Supporting the Fetch-and-Add Primitive. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fault-tolerant interconnection network, fetch-and-add primitive, combining multistage interconnection network, 4*4 switches, four independent paths, scheduling, fault tolerant computing, multiprocessor interconnection networks, analytical models, network simulations, omega network
38Caixia Sun, Hong-Wei Tang, Minxuan Zhang Enhancing ICOUNT2.8 Fetch Policy with Better Fairness for SMT Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Abdelli Abdelkrim, Nadjib Badache A semantic based pre-fetch scheme for SMIL presentation proxy-delivery. Search on Bibsonomy MMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Ayose Falcón, Alex Ramírez, Mateo Valero Effective Instruction Prefetching via Fetch Prestaging. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Nikil Mehta, Brian Singer, R. Iris Bahar, Michael Leuchtenburg, Richard S. Weiss Fetch Halting on Critical Load Misses. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Rafael R. dos Santos, Philippe Olivier Alexandre Navaux Analysing a Multistreamed Superscalar Speculative Fetch Mechanism. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Freddy Gabbay, Avi Mendelson The Effect of Instruction Fetch Bandwidth on Value Prediction. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Deze Zeng, Minyi Guo, Song Guo 0001, Mianxiong Dong, Hai Jin 0001 The Design and Evaluation of a Selective Way Based Trace Cache. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction fetch unit design, selective way, energy efficient, computer architecture, trace cache
37Wangyuan Zhang, Xin Fu, Tao Li 0006, José A. B. Fortes An Analysis of Microarchitecture Vulnerability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-aware reliability optimization, microarchitecture vulnerability, simultaneous multithreaded architecture, semiconductor transient fault, microprocessor reliability, processor throughput, soft error vulnerability analysis, SPEC CPU 2000 benchmark, microarchitecture structure, microarchitecture reliability profile, fetch policy, thread-level parallelism, multithreading architecture
37Yehuda Afek, Eran Shalom Less Is More: Consensus Gaps Between Restricted and Unrestricted Objects. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Consensus hierarchy, Common2, Bounded-use, Bounded-size, Long-lived, Fetch&Add, Queues, Stacks, Set, Wait-free, Swap
37Saurabh Chheda, Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Combining compiler and runtime IPC predictions to reduce energy in next generation architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fetch throttling, low power design, instruction level parallelism, compiler architecture interaction, adaptive voltage scaling
37Ayose Falcón, Oliverio J. Santana, Alex Ramírez, Mateo Valero Tolerating Branch Predictor Latency on SMT. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF branch predictor delay, decoupled fetch, predictorpipelining, SMT
37Stéphan Jourdan, Lihu Rappoport, Yoav Almog, Mattan Erez, Adi Yoaz, Ronny Ronen eXtended Block Cache. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fetch bandwidth, instruction cache, trace cache, Front-end
37Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung Design of Instruction Stream Buffer with Trace Support for X86 Processors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache
37Oliverio J. Santana, Ayose Falcón, Alex Ramírez, Mateo Valero DIA: A Complexity-Effective Decoding Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
37Chengmo Yang, Alex Orailoglu Power-efficient instruction delivery through trace reuse. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processor, low-power design, instruction delivery
37Oliverio J. Santana, Ayose Falcón, Alex Ramírez, Mateo Valero Branch predictor guided instruction decoding. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity-effective, instruction decoding, branch predictor
37Kugan Vivekanandarajah, Thambipillai Srikanthan, Saurav Bhattacharyya Decode filter cache for energy efficient instruction cache hierarchy in super scalar architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Hans Vandierendonck, Hans Logie, Koenraad De Bosschere Trace Substitution. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37P. Krishna Gummadi, Richard J. Dunn, Stefan Saroiu, Steven D. Gribble, Henry M. Levy, John Zahorjan Measurement, modeling, and analysis of a peer-to-peer file-sharing workload. Search on Bibsonomy SOSP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multimedia workloads, modeling, peer-to-peer, measurement, Zipf's law
37Chin-Tser Huang, Mohamed G. Gouda, E. N. Elnozahy Convergence of IPsec in Presence of Resets. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Nael B. Abu-Ghazaleh, Philip A. Wilsey On the Structure of Concurrent Interpreters. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Roni Rosner, Avi Mendelson, Ronny Ronen Filtering Techniques to Improve Trace-Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Eric Rotenberg, Steve Bennett, James E. Smith 0001 A Trace Cache Microarchitecture and Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, Instruction cache, trace cache, instruction fetching
37Simonjit Dutta, Manoj Franklin Control Flow Prediction Schemes for Wide-Issue Superscalar Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Block-level prediction, multiple-issue processors, multiple-branch prediction, tree-level prediction, speculative execution, trace cache, instruction-level parallelism (ILP)
37Glenn Reinman, Todd M. Austin, Brad Calder A Scalable Front-End Architecture for Fast Instruction Delivery. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Sanjay J. Patel, Marius Evers, Yale N. Patt Improving Trace Cache Effectiveness with Branch Promotion and Trace Packing. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Brad Calder, Dirk Grunwald Next Cache Line and Set Prediction. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Marcio Buss, Daniel Brand, Vugranam C. Sreedhar, Stephen A. Edwards Flexible pointer analysis using assign-fetch graphs. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF summary-based analysis, static analysis, pointer analysis
28Michael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Temporal instruction fetch streaming. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Ping Chao, Youn-Long Lin A motion compensation system with a high efficiency reference frame pre-fetch scheme for QFHD H.264/AVC decoding. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Andrew Robinson, Jim D. Garside Sensitive registers: a technique for reducing the fetch bandwidth in low-power microprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF processors, memory bandwidth, power efficiency, registers
28Michael L. Walters, Kerstin Dautenhahn, Sarah N. Woods, Kheng Lee Koay Robotic etiquette: results from user studies involving a fetch and carry task. Search on Bibsonomy HRI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF live interactions, human-robot interaction, social robot, personal spaces, user trials, social spaces
28Prabhu Rajamani, Jatan P. Shah, Vadhiraj Sankaranarayanan, Rama Sangireddy High performance and alleviated hot-spot problem in processor frontend with enhanced instruction fetch bandwidth utilization. Search on Bibsonomy IPCCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Ziqian Liu, Changjia Chen Modeling Fetch-at-Most-Once Behavior in Peer-to-Peer File-Sharing Systems. Search on Bibsonomy APWeb Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Stephen Hines, Gary S. Tyson, David B. Whalley Reducing Instruction Fetch Cost by Packing Instructions into RegisterWindows. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Francisco J. Cazorla, Alex Ramírez, Mateo Valero, Enrique Fernández DCache Warn: An I-Fetch Policy to Increase SMT Efficiency. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Gregory A. Muthler, David Crowe, Sanjay J. Patel, Steven Lumetta Instruction fetch deferral using static slack. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo, Rebecca L. Stamm Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 746 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license