|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1320 occurrences of 781 keywords
|
|
|
Results
Found 4976 publication records. Showing 4976 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
55 | Vijay Raghunathan, Srivaths Ravi 0001, Ganesh Lakshminarayana |
High-Level Synthesis with Variable-Latency Components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India, pp. 220-227, 2000, IEEE Computer Society, 0-7695-0487-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
variable latency units, data dependent computation, area-delay tradeoffs, High-level synthesis, performance optimization |
53 | HyunGon Kim, ByeongKyun Oh |
Secure and low latency handoff scheme for proxy mobile IPv6. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 5th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2008, Yilan, Taiwan, September 10-12, 2008, pp. 71, 2008, ACM, 978-1-60558-089-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
MAG, low latency handoff, session, AAA, proxy mobile IPv6 |
52 | Jin Zhou, Li Tang, Kai Li, Hao Wang, Zhizhi Zhou |
A Low-Latency Peer-to-Peer Approach for Massively Multiplayer Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AP2PC ![In: Agents and Peer-to-Peer Computing, 4th International Workshop, AP2PC 2005, Utrecht, The Netherlands, July 25, 2005, Revised Papers, pp. 120-131, 2005, Springer, 3-540-49025-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MMGs, Smart manager, P2P, DHT, Low latency |
48 | Vijay Raghunathan, Srivaths Ravi 0001, Ganesh Lakshminarayana |
Integrating variable-latency components into high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(10), pp. 1105-1117, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
45 | Ashvin Goel, Charles Krasic, Jonathan Walpole |
Low-latency adaptive streaming over tcp. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Multim. Comput. Commun. Appl. ![In: ACM Trans. Multim. Comput. Commun. Appl. 4(3), pp. 20:1-20:20, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low latency streaming, TCP, multimedia applications |
45 | Fanrui Kong, Chunwen Li, Xuedong Zhao, Qingqing Ding, Fei Jiao, Qibin Gu |
An Energy-Efficient and Low-Latency Sink Positioning Approach for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 123-134, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
sink position, lifespan, wireless sensor networks, energy-efficient, low-latency |
44 | Marios Tziakouris, Paraskevas Evripidou |
HTTPStream Platform - Low Latency Data for the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Workshops ![In: On the Move to Meaningful Internet Systems: OTM 2008 Workshops, OTM Confederated International Workshops and Posters, ADI, AWeSoMe, COMBEK, EI2N, IWSSA, MONET, OnToContent + QSI, ORM, PerSys, RDDS, SEMELS, and SWWS 2008, Monterrey, Mexico, November 9-14, 2008. Proceedings, pp. 873-882, 2008, Springer, 978-3-540-88874-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low latency data, Web 2.0, pervasive, http streaming |
44 | Craig S. Steele, Jeffrey T. Draper, Jeff Koller, C. LaCour |
A Bus-Efficient Low-Latency Network Interface for the PDSS Multicomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 6th International Symposium on High Performance Distributed Computing, HPDC '97, Portland, OR, USA, August 5-8, 1997., pp. 213-222, 1997, IEEE Computer Society, 0-8186-8117-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
bus-efficient low-latency network interface, PDSS multicomputer, unprivileged code, cache-to-cache communications, distributed barrier-synchronization mechanism, single-chip implementation, commodity processor, routing, multiprocessor interconnection networks, interconnect, cache coherence protocols |
43 | Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano, Tsutomu Yoshinaga |
Prediction router: Yet another low latency on-chip router architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 367-378, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
41 | Chris GauthierDickey, Daniel Zappala, Virginia Mary Lo, James Marr |
Low latency and cheat-proof event ordering for peer-to-peer games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOSSDAV ![In: Network and Operating System Support for Digital Audio and Video, 14th International Workshop, NOSSDAV 2004, Cork, Ireland, June 16-18, 2004, Proceedings, pp. 134-139, 2004, ACM, 1-58113-801-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cheat-proof, peer-to-peer, interactive, games, distributed, low-latency |
41 | Tiberiu Chelcea, Steven M. Nowick |
Low-Latency Asynchronous FIFO's Using Token Rings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2-6 April 2000, Eilat, Israel, pp. 210-, 2000, IEEE Computer Society, 0-7695-0586-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
VLSI, asynchronous, FIFO, low-latency, digital design, token ring |
41 | Ken Yocum, Jeffrey S. Chase, Andrew J. Gallatin, Alvin R. Lebeck |
Cut-Through Delivery in Trapeze: An Exercise in Low-Latency Messaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 6th International Symposium on High Performance Distributed Computing, HPDC '97, Portland, OR, USA, August 5-8, 1997., pp. 243-252, 1997, IEEE Computer Society, 0-8186-8117-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
message switching, cut-through delivery, low-latency messaging, I/O bus bandwidths, host I/O DMA transfers, network traversal, messaging substrate, network memory, Trapeze prototype, virtual memory pages, Myrinet cluster, DEC AlphaStations, network interfaces, computer clusters, network technology, application performance, messaging systems |
41 | Leslie S. Liu 0002, Roger Zimmermann |
Adaptive low-latency peer-to-peer streaming and its application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 11(6), pp. 497-512, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Tree optimization, Application level multicast, Peer-to-peer streaming, Floor control |
40 | Chandramohan A. Thekkath, Henry M. Levy |
Limits to Low-Latency Communication on High-Speed Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 11(2), pp. 179-203, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
host-network interfaces, transport level protocols, ATM networks, remote procedure calls, interprocess communication |
39 | Wladek Olesinski, Nils Gura, Hans Eberle, Andres Mejia |
Low-latency scheduling in large switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2007 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2007, Orlando, Florida, USA, December 3-4, 2007, pp. 87-96, 2007, ACM, 978-1-59593-945-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
scheduling, switching, low latency, arbiter |
38 | JaeSub Kim, Keuntae Park, Jeong-Hun Shin, Daeyeon Park |
Look-ahead scheduling for energy-efficiency and low-latency in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PE-WASUN ![In: Proceedings of the 3rd ACM International Workshop on Performance Evaluation of Wireless Ad Hoc, Sensor, and Ubiquitous Networks, PE-WASUN 2006, Torremolinos, Spain, October 6, 2006, pp. 141-144, 2006, ACM, 1-59593-487-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
wireless sensor networks, energy efficiency, MAC, low latency |
36 | Sharon Shitrit, Eyal Felstaine, Niv Gilboa, Ofer Hermoni |
Anonymity Scheme for Interactive P2P Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 8th IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2008), 19-22 May 2008, Lyon, France, pp. 33-40, 2008, IEEE Computer Society, 978-0-7695-3156-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Anonymity, Distributed Hash Table (DHT), Peer-to-Peer (P2P), Low-latency, Interactive Services |
36 | Xinyuan Wang 0005, Shiping Chen 0003, Sushil Jajodia |
Network Flow Watermarking Attack on Low-Latency Anonymous Communication Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
S&P ![In: 2007 IEEE Symposium on Security and Privacy (S&P 2007), 20-23 May 2007, Oakland, California, USA, pp. 116-130, 2007, IEEE Computer Society, 0-7695-2848-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Hung-Cuong Le, Hervé Guyennet, Violeta Felea, Noureddine Zerhouni |
A Low Latency MAC Scheme for Event-Driven Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 291-301, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Vijay Moorthy, Matthew G. Jacunski, Manoj Pillai, Peter P. Ware, Dhabaleswar K. Panda 0001, Thomas W. Page Jr., P. Sadayappan, V. Nagarajan, Johns Daniel |
Low-Latency Message Passing on Workstation Clusters using SCRAMNet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP ![In: 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings, pp. 148-152, 1999, IEEE Computer Society, 0-7695-0143-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
34 | Chris Blondia, Olga Casals, Llorenç Cerdà, Nik Van den Wijngaert, Gert Willems |
Performance Evaluation of Layer 3 Low Latency Handoff Mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mob. Networks Appl. ![In: Mob. Networks Appl. 9(6), pp. 633-645, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
low latency handoff, performance evaluation, IEEE 802.11, mobile IP |
33 | Bradford M. Beckmann, David A. Wood 0001 |
Managing Wire Delay in Large Chip-Multiprocessor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 4-8 December 2004, Portland, OR, USA, pp. 319-330, 2004, IEEE Computer Society, 0-7695-2126-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Alireza Shoa |
Variable Length Coding for Fixed Rate, Low Latency, Low Complexity Compression Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCC ![In: 2008 Data Compression Conference (DCC 2008), 25-27 March 2008, Snowbird, UT, USA, pp. 544, 2008, IEEE Computer Society, 978-0-7695-3121-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low latency compression, fixed rate, Variable length coding |
32 | Srikant Sharma, Ningning Zhu, Tzi-cker Chiueh |
Low-latency mobile IP handoff for infrastructure-mode wireless LANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 22(4), pp. 643-652, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | JaeSub Kim, Kyu Ho Park |
Design and implementation of a look-ahead scheduling MAC protocol for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, IWCMC 2009, Leipzig, Germany, June 21-24, 2009, pp. 826-831, 2009, ACM, 978-1-60558-569-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
LAS-MAC, wireless sensor networks, energy efficiency, implementation, MAC, high throughput, low latency |
31 | Uri Cummings |
Ethernet interconnects - Low-latency ethernet: the ubiquitous datacenter interconnect. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 256, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Giovanni Gualdi, Rita Cucchiara, Andrea Prati 0001 |
Low-Latency Live Video Streaming over Low-Capacity Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISM ![In: Eigth IEEE International Symposium on Multimedia (ISM 2006), 11-13 December 2006, San Diego, CA, USA, pp. 449-456, 2006, IEEE Computer Society, 0-7695-2746-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
30 | Jason Jerald, Mary C. Whitton |
Relating Scene-Motion Thresholds to Latency Thresholds for Head-Mounted Displays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VR ![In: IEEE Virtual Reality Conference 2009 (VR 2009), 14-18 March 2009, Lafayette, Louisiana, USA, Proceedings, pp. 211-218, 2009, IEEE Computer Society, 978-1-4244-3943-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
30 | Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy |
Compiler-Directed Variable Latency Aware SPM Management to CopeWith Timing Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fifth International Symposium on Code Generation and Optimization (CGO 2007), 11-14 March 2007, San Jose, California, USA, pp. 232-243, 2007, IEEE Computer Society, 978-0-7695-2764-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Hans Eberle, Nils Gura |
Separated high-bandwidth and low-latency communication in the cluster interconnect Clint. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the 2002 ACM/IEEE conference on Supercomputing, Baltimore, Maryland, USA, November 16-22, 2002, CD-ROM, pp. 51:1-51:12, 2002, IEEE Computer Society, 0-7695-1524-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
29 | José A. Tierno, Sergey V. Rylov, Alexander V. Rylyakov, Montek Singh, Steven M. Nowick |
An Adaptively-Pipelined Mixed Synchronous-Asynchronous Digital FIR Filter Chip Operating at 1.3 GigaHertz. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 9-11 April 2002, Manchester, UK, pp. 84-95, 2002, IEEE Computer Society, 0-7695-1540-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
PRML read channel, magnetic recording, asynchronous pipeline, digital arithmetic, FIR filter, dynamic logic, high-throughput, low-latency, distributed arithmetic, mixed timing |
29 | Michael Meehan, Sharif Razzaque, Mary C. Whitton, Frederick P. Brooks Jr. |
Effect of Latency on Presence in Stressful Virtual Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VR ![In: IEEE Virtual Reality Conference 2003 (VR 2003), 22-26 March 2003, Los Angeles, CA, USA, Proceedings, pp. 141-148, 2003, IEEE Computer Society, 0-7695-1882-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
virtual environments, latency, presence, frame rate, physiological measures, simulator sickness |
29 | Jelio Todorov Yantchev, C. G. Huang, Mark B. Josephs, Ivailo M. Nedelchev |
Low-latency asynchronous FIFO buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: Second Working Conference on Asynchronous Design Methodologies, May 30-31, 1995, London, England, UK, pp. 24-31, 1995, IEEE Computer Society, 0-8186-7098-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
buffer circuits, low-latency asynchronous FIFO buffers, parallel asynchronous implementation, interface circuitry, inter-chip communication wires, acknowledge signal, high-throughput multiple-burst signalling scheme, packet switching, asynchronous circuits, pipeline processing, propagation delay |
29 | Erik Brunvand |
Low latency self-timed flow-through FIFOs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 16th Conference on Advanced Research in VLSI (ARVLSI '95), March 27-29, 1995, Chapel Hill, North Carolina, USA, pp. 76-90, 1995, IEEE Computer Society, 0-8186-7047-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
self-timed flow-through FIFO, linear flow-through FIFO, parallel FIFO, tree FIFO, square FIFO, folded FIFO, low latency type, field programmable gate arrays, VLSI, asynchronous circuits, CMOS logic circuits |
29 | W. Amendola Jr., Hosahalli R. Srinivas, Keshab K. Parhi |
A 16-bit x 16-bit 1.2 μ CMOS multiplier with low latency vector merging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 398-402, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
CMOS multiplier, low latency vector merging, bit-level pipelined architecture, two's-complement binary array multiplier, multiplier architecture, signed-digit radix 2 adders, carry free adders, fast conversion scheme, pipelining registers, half adders, positive edge triggered registers, single phase clocking scheme, 16 bit, 50 MHz, 3 V, VLSI, parallel architectures, multiplication, VLSI architecture, CMOS logic circuits, multiplying circuits, data conversion, pipeline arithmetic, 1.2 micron |
29 | Kai Li 0001, Jeffrey F. Naughton, James S. Plank |
Low-Latency, Concurrent Checkpointing for Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(8), pp. 874-879, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
low latency concurrent checkpointing, programrestarting, overall checkpointing time, interruption time, overlapping operations, parallel programming, parallel programs, metrics, fault tolerant computing, efficiency, software reliability, shared-memory multiprocessors, system recovery, program diagnostics, overhead, backward error recovery, copy-on-write, faulttolerance |
28 | Xiaoqiu Wang, Satoshi Konishi, Takeshi Kitahara, Hajime Nakamura |
A Novel Adaptive SR-SW-ARQ/FEC Scheme for an Ultra Low-Latency Mobile Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 63rd IEEE Vehicular Technology Conference, VTC Spring 2006, 7-10 May 2006, Melbourne, Australia, pp. 241-245, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Soonhak Kwon |
A Low Complexity and a Low Latency Bit Parallel Systolic Multiplier over GF(2m) Using an Optimal Normal Basis of Type II. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 16th IEEE Symposium on Computer Arithmetic (Arith-16 2003), 15-18 June 2003, Santiago de Compostela, Spain, pp. 196-, 2003, IEEE Computer Society, 0-7695-1894-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Anthony T. C. Tam, Cho-Li Wang |
Contention-Aware Communication Schedule for High-Speed Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 6(4), pp. 339-353, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
congestion control, hierarchical network, complete exchange, low-latency communication |
27 | Seung Sik Choi |
Analysis of Low Latency MAC Protocols for Clustered Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings, pp. 1894-1898, 2008, IEEE, 978-1-4244-1997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Chun Hsia, Chunhung Richard Lin |
Low-Latency Mobile IP Handover Based on Active-Scan Link Layer Assisted FMIPv6. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 165-173, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Rostislav (Reuven) Dobkin, Michael Peleg, Ran Ginosar |
Parallel interleaver design and VLSI architecture for low-latency MAP turbo decoders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(4), pp. 427-438, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Jun Jin Kong, Keshab K. Parhi |
Low-latency architectures for high-throughput rate Viterbi decoders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 12(6), pp. 642-651, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Klaus E. Schauser, Chris J. Scheiman |
Experience with active messages on the Meiko CS-2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 140-149, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Meiko CS-2, network communication co-processors, parallel architectures, message passing, parallel machines, parallel machines, low latency, communication architecture, active messages |
26 | David Slogsnat, Alexander Giese, Ulrich Brüning 0001 |
A versatile, low latency HyperTransport core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays, FPGA 2007, Monterey, California, USA, February 18-20, 2007, pp. 45-52, 2007, ACM, 978-1-59593-600-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
HTX, HyperTransport, FPGA, prototyping, RTL |
26 | Antonio G. Ruzzelli, Richard Tynan, Gregory M. P. O'Hare |
An Energy-Efficient and Low-Latency Routing Protocol for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Systems Communications ![In: Systems Communications 2005 (ICW / ICHSN / ICMCS / SENET 2005), 14-17 August 2005, Montreal, Canada, pp. 449-454, 2005, IEEE Computer Society, 0-7695-2422-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
reg |
26 | Hyun Gon Kim, Doo-Ho Choi, Dae Young Kim 0001 |
Secure Session Key Exchange for Mobile IP Low Latency Handoffs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (2) ![In: Computational Science and Its Applications - ICCSA 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part II, pp. 230-238, 2003, Springer, 3-540-40161-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
26 | Kanad Ghose, Seth Melnick, Tom Gaska, Seth Goldberg, Arun K. Jayendran, Brian T. Stein |
The Implementation of Low Latency Communication Primitives in the Snow Prototype. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1997 International Conference on Parallel Processing (ICPP '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings, pp. 462-461, 1997, IEEE Computer Society, 0-8186-8108-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
clusters, distributed shared memory, network of workstations, barrier synchronization |
26 | Stephen Fried |
Innovative technologies III - Topologies for improved InfiniBand latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 289, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Stefan Kohlbecher, Klaus Bartl, Stanislavs Bardins, Erich Schneider |
Low-latency combined eye and head tracking system for teleoperating a robotic head in real-time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETRA ![In: Proceedings of the 2010 Symposium on Eye-Tracking Research & Applications, ETRA 2010, Austin, Texas, USA, March 22-24, 2010, pp. 117-120, 2010, ACM, 978-1-60558-994-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
head-mounted, real-time, calibration |
25 | Xiaolan Joy Zhang, Henrique Andrade, Bugra Gedik, Richard King, John F. Morar, Senthil Nathan, Yoonho Park, Raju Pavuluri, Edward Pring, Randall Schnier, Philippe Selo, Michael Spicer, Volkmar Uhlig, Chitra Venkatramani |
Implementing a high-volume, low-latency market data processing system on commodity hardware using IBM middleware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC-WHPCF ![In: Proceedings of the 2nd Workshop on High Performance Computational Finance, WHPCF 2009, November 15, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-716-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IBM middleware, commodity hardware, market data processing, implementation |
25 | Chun Tung Chou, Archan Misra, Junaid Qadir 0001 |
Low-Latency Broadcast in Multirate Wireless Mesh Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 24(11), pp. 2081-2091, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Benny Akesson, Liesbeth Steffens, Eelke Strooisma, Kees Goossens |
Real-Time Scheduling Using Credit-Controlled Static-Priority Arbitration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: The Fourteenth IEEE Internationl Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2008, Kaohisung, Taiwan, 25-27 August 2008, Proceedings, pp. 3-14, 2008, IEEE Computer Society, 978-0-7695-3349-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Ioannis Chatzigiannakis, Sotiris E. Nikoletseas |
A forward planning situated protocol for data propagation in wireless sensor networks based on swarm intelligence techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2005: Proceedings of the 17th Annual ACM Symposium on Parallelism in Algorithms and Architectures, July 18-20, 2005, Las Vegas, Nevada, USA, pp. 214, 2005, ACM, 1-58113-986-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Tiantian Guo, Jianfei Cai 0001, Chuan Heng Foh |
Distributed routing algorithm for low-latency broadcasting in multi-rate wireless mesh network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, IWCMC 2009, Leipzig, Germany, June 21-24, 2009, pp. 338-342, 2009, ACM, 978-1-60558-569-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multirate wireless mesh network, network-wide latency, distributed routing |
23 | Zhichao Gu, Jifeng Sun |
An Efficient and Low-Latency MAC Protocol for Wireless Sensor Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-Hoc and Sensor Networks, Third International Conference, MSN 2007, Beijing, China, December 12-14, 2007, Proceedings, pp. 209-220, 2007, Springer, 978-3-540-77023-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Vitaly Shmatikov, Ming-Hsiu Wang |
Timing Analysis in Low-Latency Mix Networks: Attacks and Defenses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESORICS ![In: Computer Security - ESORICS 2006, 11th European Symposium on Research in Computer Security, Hamburg, Germany, September 18-20, 2006, Proceedings, pp. 18-33, 2006, Springer, 3-540-44601-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Robson Dornelles, Felipe Sampaio, Daniel Palomino 0001, Luciano Volcan Agostini |
Transforms and quantization design targeting the H.264/AVC intra prediction constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IQ modules, Q, T, IT, video coding, high performance, H.264/AVC, VLSI design, low latency, intra-prediction |
23 | Michael Ferdman, Babak Falsafi |
Last-Touch Correlated Data Streaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 105-115, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
predictor lookahead, last-touch correlated data streaming, address-correlating predictor, cache block address identification, correlation data storage, program active memory footprint, prediction lookahead, off-chip correlation data lookup, scalable on-chip table, low-latency lookup, on-chip storage, last-touch predictor, prefetch, superscalar processor, cycle-accurate simulation |
23 | Basem A. Nayfeh, Kunle Olukotun, Jaswinder Pal Singh |
The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 74-84, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
shared-cache clustering, small-scale shared-memory multiprocessors, shared global bus, low-latency interconnections, performance evaluation, shared memory systems, cache storage, memory system, multichip module, L2 cache, processor performance, high-bandwidth, bus contention |
23 | Jörg Ottensmeyer, Peter Martini |
Improving the demand-priority protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 4th International Conference on Computer Communications and Networks (ICCCN '95), September 20-23, 1995, Las Vegas, Nevada, USA, pp. 369, 1995, IEEE Computer Society, 0-8186-7180-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
demand-priority protocol, IEEE 802.12, low latency service, high-priority traffic, normal priority traffic, high priority traffic, variable bit rate high priority loads, service strategies, standardization, network topology, network topology, simulation results, medium access control protocol, interactive multimedia applications |
23 | Takashi Yokota, Hiroshi Matsuoka, Kazuaki Okamoto, Hideo Hirono, Atsushi Hori, Shuichi Sakai |
A prototype router for the massively parallel computer RWC-1. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 279-284, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
prototype router, massively parallel computer RWC-1, multi-threaded architecture, high communication performance, direct interconnection networks, small degree, operating system support features, CMOS gate array, VLSI, parallel architectures, multiprocessor interconnection networks, CMOS integrated circuits, high throughput, low latency, hardware cost, VLSI chip |
23 | Gennaro Cordasco, Francesca Della Corte, Alberto Negro, Alessandra Sala, Vittorio Scarano |
Relaxed-2-Chord: Efficiency, flexibility and provable stretch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-8, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Ilias Iliadis, Cyriel Minkenberg |
Performance of a speculative transmission scheme for scheduling-latency reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 16(1), pp. 182-195, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
electrooptic switches, scheduling, modeling, packet switching, arbiters |
23 | William L. Lynch, Gary Lauterbach, Joseph I. Chamdani |
Low Load Latency Through Sum-Addressed Memory (SAM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 369-379, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
23 | Robert M. Senger, Eric D. Marsman, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown |
Low-latency, HDL-synthesizable dynamic clock frequency controller with self-referenced hybrid clocking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Eric Hsiao-Kuang Wu, Ming-I Hsieh, Hsu-Te Lai |
A Novel Low Latency Packet Scheduling Scheme for Broadband Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCM (2) ![In: Advances in Multimedia Information Processing - PCM 2005, 6th Pacific-Rim Conference on Multimedia, Jeju Island, Korea, November 13-16, 2005, Proceedings, Part II, pp. 1015-1026, 2005, Springer, 3-540-30040-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | R. K. Shyamasundar, Basant Rajan, Manish Prasad, Amit Jain |
LLM: A Low Latency Messaging Infrastructure for Linux Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2002, 9th International Conference, Bangalore, India, December 18-21, 2002, Proceedings, pp. 112-123, 2002, Springer, 3-540-00303-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Mingzhe Liu, Haikun Liu, Chencheng Ye, Xiaofei Liao, Hai Jin 0001, Yu Zhang 0027, Ran Zheng, Liting Hu |
Towards low-latency I/O services for mixed workloads using ultra-low latency SSDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: ICS '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022, pp. 13:1-13:12, 2022, ACM, 978-1-4503-9281-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
23 | Gyusun Lee, Seokha Shin, Wonsuk Song, Tae Jun Ham, Jae W. Lee, Jinkyu Jeong |
Asynchronous I/O Stack: A Low-latency Kernel I/O Stack for Ultra-Low Latency SSDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Annual Technical Conference ![In: 2019 USENIX Annual Technical Conference, USENIX ATC 2019, Renton, WA, USA, July 10-12, 2019, pp. 603-616, 2019, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
23 | Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu |
Tiered-Latency DRAM: Enabling Low-Latency Main Memory at Low Cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1805.03048, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
23 | Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu |
Tiered-latency DRAM: A low latency and low cost DRAM architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 19th IEEE International Symposium on High Performance Computer Architecture, HPCA 2013, Shenzhen, China, February 23-27, 2013, pp. 615-626, 2013, IEEE Computer Society, 978-1-4673-5585-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Daeho Seo, Akif Ali, Won-Taek Lim, Nauman Rafique, Mithuna Thottethodi |
Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 432-443, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Danil Sokolov, Alexandre V. Bystrov, Alexandre Yakovlev |
Direct Mapping of Low-Latency Asynchronous Controllers From STGs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(6), pp. 993-1009, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Jumpei Arata, Hiroki Takahashi, Phongsaen Pitakwatchara, Shin'ichi Warisawa, Kazuo Tanoue, Kozo Konishi, Satoshi Ieiri, Shuji Shimizu, Naoki Nakashima, Koji Okamura, Yuichi Fujino, Yukihiro Ueda, Pornarong Chotiwan, Mamoru Mitsuishi, Makoto Hashizume |
A remote surgery experiment between Japan and Thailand over Internet using a low latency CODEC system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 953-959, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Wade L. Williams, Philip E. Madrid, Scott C. Johnson |
Low Latency Clock Domain Transfer for Simultaneously Mesochronous, Plesiochronous and Heterochronous Interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2007), 12-14 March 2006, Berkeley, California, USA, pp. 196-204, 2007, IEEE Computer Society, 978-0-7695-2771-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Jin-Man Kim, Jong-Wook Jang |
Low Latency Vertical Handover Using MIH L2-Trigger Algorithm in Mobile IP Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, 5th International Symposium, ISPA 2007, Niagara Falls, Canada, August 29-31, 2007, Proceedings, pp. 707-718, 2007, Springer, 978-3-540-74741-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Hayoung Oh, Kijoon Chae |
An Energy-Efficient Sensor Routing with low latency, scalability in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUE ![In: 2007 International Conference on Multimedia and Ubiquitous Engineering (MUE 2007), 26-28 April 2007, Seoul, Korea, pp. 147-152, 2007, IEEE Computer Society, 978-0-7695-2777-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Yi J. Liang, Bernd Girod |
Network-adaptive low-latency video communication over best-effort networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 16(1), pp. 72-81, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Stefan Köpsell |
Low Latency Anonymous Communication - How Long Are Users Willing to Wait?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETRICS ![In: Emerging Trends in Information and Communication Security, International Conference, ETRICS 2006, Freiburg, Germany, June 6-9, 2006, Proceedings, pp. 221-237, 2006, Springer, 3-540-34640-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Edith Beigné, Fabien Clermidy, Pascal Vivet, Alain Clouard, Marc Renaudin |
An Asynchronous NOC Architecture Providing Low Latency Service and Its Multi-Level Design Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 11th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2005), 14-16 March 2005, New York, NY, USA, pp. 54-63, 2005, IEEE Computer Society, 0-7695-2305-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Brian Neil Levine, Michael K. Reiter, Chenxi Wang, Matthew K. Wright |
Timing Attacks in Low-Latency Mix Systems (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Financial Cryptography ![In: Financial Cryptography, 8th International Conference, FC 2004, Key West, FL, USA, February 9-12, 2004. Revised Papers, pp. 251-265, 2004, Springer, 3-540-22420-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Roberto Ammendola, M. Guagnelli, G. Mazza, Filippo Palombi, Roberto Petronzio, Davide Rossetti, Andrea Salamon, Piero Vicini |
APENet: a high speed, low latency 3D interconnect network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2004 IEEE International Conference on Cluster Computing (CLUSTER 2004), September 20-23 2004, San Diego, California, USA, pp. 481, 2004, IEEE Computer Society, 0-7803-8694-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Leonidas I. Kontothanassis, Galen C. Hunt, Robert Stets, Nikos Hardavellas, Michal Cierniak, Srinivasan Parthasarathy 0001, Wagner Meira Jr., Sandhya Dwarkadas, Michael L. Scott |
VM-Based Shared Memory on Low-Latency, Remote-Memory-Access Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 24th International Symposium on Computer Architecture, Denver, Colorado, USA, June 2-4, 1997, pp. 157-169, 1997, ACM, 0-89791-901-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
21 | Sergio Saponara, Francesco Vitullo, Riccardo Locatelli, Philippe Teninge, Marcello Coppola, Luca Fanucci |
LIME: A Low-latency and Low-complexity On-chip Mesochronous Link with Integrated Flow Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2008, Parma, Italy, September 3-5, 2008, pp. 32-35, 2008, IEEE Computer Society, 978-0-7695-3277-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Milind Borkar, Volkan Cevher, James H. McClellan |
Low computation and low latency algorithms for distributed sensor network initialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Signal Image Video Process. ![In: Signal Image Video Process. 1(2), pp. 133-148, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Heterogeneous sensors, Sensor networks, Distributed processing, Data fusion, Monte Carlo methods, Initialization |
21 | Lijun Gao, Keshab K. Parhi |
Custom VLSI design of efficient low latency and low power finite field multiplier for Reed-Solomon codec. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 574-577, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Andrew Erlichson, Neal Nuckolls, Greg Chesson, John L. Hennessy |
SoftFLASH: Analyzing the Performance of Clustered Distributed Virtual Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 210-220, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
20 | Sha Liu, Kai-Wei Fan, Prasun Sinha |
CMAC: An Energy Efficient MAC Layer Protocol Using Convergent Packet Forwarding for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SECON ![In: Proceedings of the Fourth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, SECON 2007, Merged with IEEE International Workshop on Wireless Ad-hoc and Sensor Networks (IWWAN), June 18-21, 2007, San Diego, California, USA, pp. 11-20, 2007, IEEE, 1-4244-1268-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Xinyuan Wang 0005, Shiping Chen 0003, Sushil Jajodia |
Tracking anonymous peer-to-peer VoIP calls on the internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: Proceedings of the 12th ACM Conference on Computer and Communications Security, CCS 2005, Alexandria, VA, USA, November 7-11, 2005, pp. 81-91, 2005, ACM, 1-59593-226-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
VoIP tracing, anonymous VoIP calls, peer-to-peer, VoIP, anonymous communication |
20 | Jiakang Lu, Kamin Whitehouse |
Exploiting the capture effect for low-latency flooding in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 6th International Conference on Embedded Networked Sensor Systems, SenSys 2008, Raleigh, NC, USA, November 5-7, 2008, pp. 409-410, 2008, ACM, 978-1-59593-990-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wireless sensor networks, latency, flooding, capture effect |
20 | Igor Kim, Young-Chul Jung, Young-Tak Kim |
Low Latency Proactive Handover Scheme for Proxy MIPv6 with MIH. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APNOMS ![In: Challenges for Next Generation Network Operations and Service Management, 11th Asia-Pacific Network Operations and Management Symposium, APNOMS 2008, Beijing, China, October 22-24, 2008. Proceedings, pp. 344-353, 2008, Springer, 978-3-540-88622-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
MAG, LMA, PBU, PBA, QoS, MIH, PMIPv6 |
20 | Knut-Helge Vik, Carsten Griwodz, Pål Halvorsen |
Constructing low-latency overlay networks: Tree vs. mesh algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: LCN 2008, The 33rd IEEE Conference on Local Computer Networks, The Conference on Leading Edge and Practical Computer Networking, Hyatt Regency Montreal, Montreal, Quebec, Canada, 14-17 October 2008, Proceedings, pp. 36-43, 2008, IEEE Computer Society, 978-1-4244-2412-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Chih-Da Chien, Chih-Wei Wang, Chiun-Chau Lin, Tien-Wei Hsieh, Yuan-Hwa Chu, Jiun-In Guo |
A Low Latency Memory Controller for Video Coding Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, ICME 2007, July 2-5, 2007, Beijing, China, pp. 1211-1214, 2007, IEEE Computer Society, 1-4244-1017-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Tzu-Chieh Kuo, Alan N. Willson Jr. |
Low-latency Memory-efficient 150-Mbps Turbo FEC Encoder and Decoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 913-916, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Robert D. Mullins, Andrew West, Simon W. Moore |
The design and implementation of a low-latency on-chip network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 164-169, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | John C. Lin, Sampath Rangarajan |
LIHP: A Low Latency Layer-3 Handoff Scheme for 802.11Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOWMOM ![In: 2006 International Symposium on a World of Wireless, Mobile and Multimedia Networks (WoWMoM 2006), 26-29 June 2006, Buffalo, New York, USA, Proceedings, pp. 401-409, 2006, IEEE Computer Society, 0-7695-2593-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Youngsong Mun, Sehoon Jang |
A Study on the Performance Improvement to AAA Authentication in Mobile IPv4 Using Low Latency Handoff. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part I, pp. 569-576, 2005, Springer, 3-540-25860-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Jongman Kim, Dongkook Park, Theo Theocharides, Narayanan Vijaykrishnan, Chita R. Das |
A low latency router supporting adaptivity for on-chip interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 559-564, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
networks, networks-on-chip, interconnection, adaptive routing |
20 | Gang Lu, Bhaskar Krishnamachari, Cauligi S. Raghavendra |
An Adaptive Energy-Efficient and Low-Latency MAC for Data Gathering in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 4976 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|