The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for PODEM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1993 (18) 1994-2006 (17) 2007-2023 (6)
Publication types (Num. hits)
article(21) inproceedings(20)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 39 keywords

Results
Found 41 publication records. Showing 41 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
107Kewal K. Saluja, Kyuchull Kim Improved Test Generation for High-Activity Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
99Naotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui PODEM Based on Static Testability Measures and Dynamic Testability Measures for Multiple-Valued Logic Circuits. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Static Testability Measures, Dynamic Testability Measures, Test Generation, Multiple-Valued Logic, PODEM
72Hi-Keung Tony Ma, Srinivas Devadas, Ruey-Sing Wei, Alberto L. Sangiovanni-Vincentelli Logic verification algorithms and their parallel implementation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
72Hi-Keung Tony Ma, Srinivas Devadas, Alberto L. Sangiovanni-Vincentelli, Ruey-Sing Wei Logic Verification Algorithms and Their Parallel Implementation. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
72Sanjay J. Patel, Janak H. Patel Effectiveness of heuristics measures for automatic test pattern generation. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
45Mahilchi Milir Vaseekar Kumar, Saravanan Padmanaban, Spyros Tragoudas Low power ATPG for path delay faults. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, ATPG, path delay faults, PODEM
45Toshimasa Kuchii, Masaki Hashizume, Takeomi Tamesada Algorithmic Test Generation for Supply Current Testing of TTL Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF supply current testing, D-frontier, test generation, IDDQ testing, PODEM
45Kuen-Jong Lee, Jing-Jou Tang, Tsung-Chu Huang, Cheng-Liang Tsai Combination Of Automatic Test Pattern Generation And Built-In Intermediate Voltage Sensing For Detecting CMOS Bridging Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS bridging faults detection, ATPG system, built-in intermediate voltage sensing, BIFEST system, PODEM-like process, PPSFP-based process, logic monitoring, gate threshold ranges, Byzantine General's Command Problem, feedback bridging faults, parallel pattern single fault propagation, fault modelling, fault simulation, fault coverage, greedy algorithm, CMOS logic circuits
36Tadeu Moreira de Classe, Henrique Prado de Sá Sousa, Ronney Moreira de Castro Sistemas de Informação Podem Ajudar no Combate à Corrupção Através de Recursos de Transparência? Mapeamento Sistemático da Literatura. Search on Bibsonomy Braz. J. Inf. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
36Janderson Ferreira Dutra, João Batista Firmino Júnior, Damires Yluska de Souza Fernandes Fatores que podem interferir no desempenho de estudantes no ENEM: uma revisão sistemática da literatura. Search on Bibsonomy Revista Brasileira de Informática na Educ. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
36Ahmad Shabani, Bijan Alizadeh PODEM: A low-cost property-based design modification for detecting Hardware Trojans in resource-constraint IoT devices. Search on Bibsonomy J. Netw. Comput. Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
36Andréia Libório Sampaio, Clarisse Sieckenius de Souza Usuários podem escrever especificações de sistemas? Um estudo empírico com uma linguagem de script. Search on Bibsonomy IHC The full citation details ... 2008 DBLP  BibTeX  RDF especificação de sistemas, extensão de sistemas, linguagens de descrição, end user programming, end user development
36Prabhakar Goel, Barry C. Rosales PODEM-X: An automatic test generation system for VLSI logic structures. Search on Bibsonomy DAC The full citation details ... 1981 DBLP  BibTeX  RDF
36Chen-Liang Fang, Wen-Ben Jone Timing optimization by gate resizing and critical path identification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
36Kuen-Jong Lee, Charles Njinda, Melvin A. Breuer SWiTEST: a switch level test generation system for CMOS combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Kwang-Ting Cheng, Hi-Keung Tony Ma On the over-specification problem in sequential ATPG algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
36Debashis Bhattacharya, John P. Hayes A hierarchical test generation methodology for digital circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF high-level circuit models, test generation, fault modeling, digital circuits, hierarchical testing
36Sunil Arvindam, Vipin Kumar 0001, V. Nageshwara Rao, Vineet Singh Automatic Test Pattern Generation on Multiprocessors: A Summary of Results. Search on Bibsonomy KBCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
27Tomoo Inoue, Nobukazu Izumi, Yuki Yoshikawa, Hideyuki Ichihara A Fast Threshold Test Generation Algorithm Based on 5-Valued Logic. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF acceptable faults, threshold test generation, error significance, 5-valued logic, PODEM
27Todd P. Kelsey, Kewal K. Saluja, Soo Young Lee An Efficient Algorithm for Sequential Circuit Test Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF automatic test generation algorithm, nine-valued logic model, Initial Timeframe Algorithm, Previous State Information Problem, faulty machine states, logic testing, sequential circuits, automatic testing, sequential circuit test generation, PODEM
27Hideo Fujiwara, Takeshi Shimono On the Acceleration of Test Generation Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF multiple backtrace, PODEM algorithm, decision tree, test generation, sensitization, Combinational logic circuits, D-algorithm, stuck faults
18V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low Power ATPG, Glitch Power, IR Drop, Peak Power, Power-profiling
18Luiz Fernando Gomes Soares, Rogério Ferreira Rodrigues, Romualdo Monteiro de Resende Costa Automatic building of frameworks for processing XML documents. Search on Bibsonomy WebMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF middleware declarativo, TV digital, maestro, XML, framework, NCL, SBTVD
18Elmário Gomes Dutra Jr., José Valdeni de Lima Supplement of partial ranks to the data fusion. Search on Bibsonomy WebMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fusão de dados, recuperação de informação, IR
18Shweta Chary, Michael L. Bushnell Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Xiaoliang Bai, Sujit Dey, Angela Krstic HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Seongmoon Wang, Sandeep K. Gupta 0001 An automatic test pattern generator for minimizing switching activity during scan testing activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Bipul Chandra Paul, Kaushik Roy 0001 Testing CrossTalk Induced Delay Faults in Static CMOS Circuits Through Dynamic Timing Analysis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Ilker Hamzaoglu, Janak H. Patel New Techniques for Deterministic Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF redundancy, stuck-at fault, Boolean satisfiability, automatic test generation, scan design, logic implications
18Kuen-Jong Lee, Jing-Jou Tang, Tsung-Chu Huang BIFEST: a built-in intermediate fault effect sensing and test generation system for CMOS bridging faults. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Weiyu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation in VLSI circuits for crosstalk noise. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Seongmoon Wang, Sandeep K. Gupta 0001 ATPG for Heat Dissipation Minimization During Scan Testing. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Bechir Ayari, Bozena Kaminska A new dynamic test vector compaction for automatic test pattern generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz, Lakshmi N. Reddy, Sudhakar M. Reddy COMPACTEST: a method to generate compact test sets for combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Delay-fault test generation and synthesis for testability under a standard scan design methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Test generation and verification for highly sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Carles Ferrer 0001, Joan Oliver, Elena Valderrama A new switch-level test pattern generation algorithm based on single path over a graph representation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Verification of Interacting Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Noriyoshi Itazaki, Kozo Kinoshita Test pattern generation for circuits with tri-state modules by Z-algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18André Ivanov, Vinod K. Agarwal Dynamic testability measures for ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Hi-Keung Tony Ma, Srinivas Devadas, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli Test generation for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #41 of 41 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license