The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase VLSI-CAD (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1987 (19) 1988-1990 (17) 1991-1994 (16) 1995-1997 (16) 1998-2000 (23) 2001-2002 (19) 2003-2006 (18) 2007-2012 (15) 2013-2023 (6)
Publication types (Num. hits)
article(38) book(1) inproceedings(108) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 164 occurrences of 112 keywords

Results
Found 149 publication records. Showing 149 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Anthony F. Hutchings, Richard J. Bonneau, William M. Fisher Integrated VLSI CAD systems at Digital Equipment Corporation. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
65Anoop Singhal, Chi-Yuan Lo Object oriented data modeling for VLSI/CAD. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design data manager, integrated CAD system, modular program architecture, VLSI, object-oriented methods, integrated circuit design, circuit CAD, object oriented data modeling, VLSI CAD
61Juin-Yeu Lu, Shiu-Kai Chin Linking HOL to a VLSI CAD System. Search on Bibsonomy HUG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
57Balkrishna Ramkumar, Prithviraj Banerjee ProperCAD: A portable object-oriented parallel environment for VLSI CAD. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
51Scott Hauck, Stephen Knol Data Security for Web-based CAD. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Web-based CAD, Internet, encryption, data security
46Tan Yan, Shuting Li, Yasuhiro Takashima, Hiroshi Murata A Theoretical Study on Wire Length Estimation Algorithms for Placement with Opaque Blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF shortest obstacle-avoiding routing length, wire length estimation algorithms, shortest routing length, routing obstacles, block placement, computational geometry, VLSI CAD
46Anoop Singhal, Robert M. Arlein, Chi-Yuan Lo DDB: An Object Oriented Design Data Manager for VLSI CAD. Search on Bibsonomy SIGMOD Conference The full citation details ... 1993 DBLP  DOI  BibTeX  RDF C++
41Michael L. Bushnell, Stephen W. Director VLSI CAD tool integration using the Ulysses environment. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF Ulysses
40Darko Kirovski, David T. Liu, Jennifer L. Wong, Miodrag Potkonjak Forensic engineering techniques for VLSI CAD tools. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Don S. Batory, Won Kim 0001 Modeling Concepts for VLSI CAD Objects. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
39Venkatram Krishnaswamy, Gagan Hasteer, Prithviraj Banerjee Load Balancing and Workload Minimization Of Overlapping Parallel Tasks. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel compiled VHDL simulation, load balancing, task assignment, VLSI-CAD, fine grained parallelism
38Martin Bolton Texts reflect growing interest in CAD for VLSI: Fichtner, W and Morf, M (eds)VLSI CAD tools and applications Kluwer, Boston, MA, USA (1987) $69.50 pp 552. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
37N. J. Elias, R. J. Byrne, A. D. Close, Robert M. McDermott The ITT VLSI design system: CAD integration in a multi-national environment. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
34Bharat Krishna, C. Y. Roger Chen, Naresh Sehgal A novel ultra-fast heuristic for VLSI CAD steiner trees. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, steiner trees, interconnect estimation
33James Daniell, Stephen W. Director An object oriented approach to CAD tool control [VLSI]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
32Melvin A. Breuer, Majid Sarrafzadeh, Fabio Somenzi Fundamental CAD algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29John A. Nestor Web-Based Visualization Tools for Teaching VLSI CAD Algorithms. Search on Bibsonomy MSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Katsuyoshi Miura, Kohei Nakata, Koji Nakamae, Hiromu Fujioka Automatic EB Fault Tracing System by Successive Circuit Extraction from VLSI CAD Layout Data. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF automatic fault tracing system, EB tester, CAD layout, VLSI
29Louis-Philippe Demers, P. Jacques, S. Fauvel, Eduard Cerny CHESHIRE: An Object-Oriented Integration of VLSI CAD Tools. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
29Rolf Drechsler, Detlef Sieling Binary decision diagrams in theory and practice. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF data structure, Boolean function, Binary decision diagram, VLSI CAD, Branching program
29Anand Chavan, Shiu-Kai Chin, Shahid Ikram, Jang Dae Kim, Juin-Yeu Zu Extending VLSI design with higher-order logic. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cambridge Higher-Order Logic theorem-prover, microprogram sequencer, Am2910, VLSI, formal verification, formal verification, logic testing, theorem proving, logic design, logic CAD, VLSI design, higher-order logic, theorem-prover, design environment, instruction-set architecture, VLSI CAD
29Scott Hauck, Gaetano Borriello An evaluation of bipartitioning techniques. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bipartitioning techniques, VLSI, logic CAD, integrated circuit design, circuit CAD, logic partitioning, logic partitioning, VLSI CAD
29Akio Okazaki, Takashi Kondo, Kazuhiro Mori, Shou Tsunekawa, Eiji Kawamoto An Automatic Circuit Diagram Reader with Loop-Structure-Based Symbol Recognition. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF automatic circuit diagram reader, loop-structure-based symbol recognition, logic circuit diagram reader, symbol segmentation, symbol identification, decision-tree control, character string recognition, connecting line analysis, computer vision, feature extraction, computerised pattern recognition, computerised pattern recognition, logic CAD, template matching, circuit CAD, VLSI-CAD
28Yinghai Lu, Hai Zhou 0001, Li Shang, Xuan Zeng 0001 Multicore parallel min-cost flow algorithm for CAD applications. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF min-cost flow, parallel programming, multicore
28Renaud Cornu-Emieux Réseau de cellules intégré : étude d'architectures pour des applications de CAO de VLSI. (Integrated cell network: architecture study for vlsi cad applications). Search on Bibsonomy 1988   RDF
24Shantanu Dutt, Wenyong Deng Cluster-aware iterative improvement techniques for partitioning large VLSI circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI circuit partitioning, mincut, physical design/layout, Clusters, iterative-improvement
24Mario Alberto López, Ravi Janardan, Sartaj K. Sahni Efficient net extraction for restricted orientation designs [VLSI layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Olivier Coudert, Igor L. Markov, Christoph Meinel, Ellen Sentovich Web-based frameworks to enable CAD RD (abstract). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Gang Qu 0001 Publicly detectable watermarking for intellectual property authentication in VLSI design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Shantanu Dutt, Wenyong Deng VLSI circuit partitioning by cluster-removal using iterative improvement techniques. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ACM/SIGDA benchmark circuits, Fiduccia-Mattheyses algorithm, VLSI circuit partitioning, cluster-removal, iterative improvement techniques, look-ahead algorithm, partition quality, spectral partitioner MELO, VLSI, CAD
20Gwo-Dong Chen, Tai-Ming Parng A Database Management System for a VLSI Design System. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
19Zhuomin Chai, Yuxiang Zhao, Wei Liu, Yibo Lin, Runsheng Wang, Ru Huang CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning Strategies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Sukanta Dey, Sukumar Nandi, Gaurav Trivedi Machine Learning for VLSI CAD: A Case Study in On-Chip Power Grid Design. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Tsung-Wei Huang A General-purpose Parallel and Heterogeneous Task Programming System for VLSI CAD. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Tsung-Wei Huang Programming Systems for Parallelizing VLSI CAD and Beyond. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Masanori Natsui, Akira Tamakoshi, Akira Mochizuki, Hiroki Koike, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu Stochastic behavior-considered VLSI CAD environment for MTJ/MOS-hybrid microprocessor design. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Qian Zhao 0001, Kazuki Inoue, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi FPGA Design Framework Combined with Commercial VLSI CAD. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19David Z. Pan, Jhih-Rong Gao, Bei Yu 0001 VLSI CAD for emerging nanolithography. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Massimo Alioto Modeling strategies of the input admittance of RC interconnects for VLSI CAD tools. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Chul-Hong Park, David Z. Pan, Kevin Lucas Exploration of VLSI CAD researches for early design rule evaluation. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Lucanus J. Simonson Industrial strength polygon clipping: A novel algorithm with applications in VLSI CAD. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Alex K. Jones, Steven P. Levitan, Rob A. Rutenbar, Yuan Xie 0001 Collaborative VLSI-CAD Instruction in the Digital Sandbox. Search on Bibsonomy MSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Satoshi Komatsu, Kazuyoshi Takagi, Masahiro Fujita, Kunihiro Asada VLSI CAD Education and Exercise Course with Public Domain Tools. Search on Bibsonomy MSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Lin Yuan, Gang Qu 0001, Ankur Srivastava 0001 VLSI CAD tool protection by birthmarking design solutions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF birthmarking, CAD, protection, intellectual property
19Mitchell Aaron Thornton, Rolf Drechsler, D. Michael Miller Spectral techniques in VLSI CAD. Search on Bibsonomy 2001   RDF
19Charles J. Alpert, Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Hypergraph partitioning with fixed vertices [VLSI CAD]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Sverre Wichlund, Einar J. Aas On efficient CPU-usage in a VLSI CAD-environment with application to circuit partitioning. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Rolf Drechsler Evolutionary Algorithms for VLSI CAD [book Review]. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Zhen Luo, Margaret Martonosi, Pranav Ashar An Edge-Endpoint-Based Configurable Hardware Architecture for VLSI CAD Layout Design Rule Checking. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Scanline Algorithm, Configurable Hardware, FPGA, DRC
19Andrew E. Caldwell, Andrew B. Kahng, Andrew A. Kennings, Igor L. Markov Hypergraph Partitioning for VLSI CAD: Methodology for Heuristic Development, Experimentation and Reporting. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19C.-J. Richard Shi, Janusz A. Brzozowski Cluster-cover a theoretical framework for a class of VLSI-CAD optimization problems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cluster-cover, logic minimizaiton, self-checking logic design, topological routing, NP-completeness, state assignment
19Motohide Otsubo, Satoru Fujita, Toru Yamanouchi Intelligent Command Control for VLSI CAD Systems. Search on Bibsonomy AAAI/IAAI The full citation details ... 1997 DBLP  BibTeX  RDF
19John G. Holm, John A. Chandy, Steven Parkes, Sumit Roy 0003, Venkatram Krishnaswamy, Gagan Hasteer, Prithviraj Banerjee Performance Evaluation of Message-Driven Parallel VLSI CAD Applications on General Purpose Multiprocessors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19John A. Chandy, Steven Parkes, Prithviraj Banerjee Distributed Object Oriented Data Structures and Algorithms for VLSI CAD. Search on Bibsonomy IRREGULAR The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19C.-J. Richard Shi, Janusz A. Brzozowski A framework for the analysis and design of algorithms for a class of VLSI-CAD optimization problems. Search on Bibsonomy ASP-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Renate Beckmann, Ulrich Bieker, Ingolf Markhof Application of Constraint Logic Programming for VLSI CAD Tools. Search on Bibsonomy CCL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19A. Bandyopadhyay, P. R. Verma, A. B. Bhattacharyya, M. J. Zarabi LATCHSIM - A Lath-Up Simulator in VLSI CAD Environment for CMOS and BiCMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Krishna P. Belkhale, Randall J. Brouwer, Prithviraj Banerjee Task scheduling for exploiting parallelism and hierarchy in VLSI CAD algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Israel A. Wagner, Israel Koren An Interactive Yield Estimator as a VLSI CAD Tool. Search on Bibsonomy DFT The full citation details ... 1993 DBLP  BibTeX  RDF
19Balkrishna Ramkumar, Prithviraj Banerjee ProperCAd: A Portable Object-Oriented Parallel Environment for VLSI CAD. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19A. Tietz, J. Koehl A VLSI - CAD system for efficient design of CMOS/390 processors. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Doohun Eum, Toshimi Minoura Data-Structure Builder for VLSI/CAD Software. Search on Bibsonomy DEXA The full citation details ... 1991 DBLP  BibTeX  RDF
19Yongtao You Toward a Fully Integrated VLSI CAD System: from Custom to Fully Automatic. Search on Bibsonomy 1991   RDF
19Rajiv Gupta 0002, Melvin A. Breuer An Extensible User Interface for an Object-Oriented VLSI CAD Framework. Search on Bibsonomy ICSI The full citation details ... 1990 DBLP  BibTeX  RDF
19Marwan A. Jabri BREL - a Prolog Knowledge-based System Shell for VLSI CAD. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Prolog
19David Hung-Chang Du, Subbarao Ghanta A Framework for efficient IC/VLSI CAD databases. Search on Bibsonomy Inf. Sci. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Rajiv Gupta 0002, Wesley H. Cheng, Rajesh Gupta 0003, Ido Hardonag, Melvin A. Breuer An Object-Oriented VLSI CAD Framework: A Case Study in Rapid Prototyping. Search on Bibsonomy Computer The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Alok Kumar, Vijeta Kashyap, Sunil D. Sherlekar, G. Venkatesh 0001, S. Biswas, Anshul Kumar, P. C. P. Bhatt, Sashi Kumar Ideas: a tool for VLSI CAD. Search on Bibsonomy IEEE Des. Test The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Arnaldo Hilário Viegas de Lima, Raul César B. Martins, Ronaldo Stern, Luiza Maria F. Carneiro GARDEN - An Integrated and Evolving Environment for ULSI/VLSI CAD Applications. Search on Bibsonomy IBM Syst. J. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Anoop Singhal, Nishit P. Parikh, Debaprosad Dutt, Chi-Yuan Lo A data model and architecture for VLSI/CAD databases. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Meng-Lin Yu A Study of the Applicability of Hopfield Decision Neural Nets to VLSI CAD. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Tore Sæter Software techniques for integrating text and graphics in VLSI CAD tools. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Pei-Yung Hsiao, Chen Yung Syau, Wu-Shiung Feng, T. M. Parng, Cheng-Chung Hsu A rule-based compactor for VLSI/CAD mask layout. Search on Bibsonomy COMPSAC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Frederica Darema, Gregory F. Pfister Multipurpose Parallelism for VLSI Cad on the RP3. Search on Bibsonomy IEEE Des. Test The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Rolf-Dieter Fiebrich The Connection Machine - A General Purpose Accelerator for VLSI CAD. Search on Bibsonomy COMPCON The full citation details ... 1987 DBLP  BibTeX  RDF
19David Hung-Chang Du, Subbarao Ghanta A Framework for Efficient IC/VLSI CAD Databases. Search on Bibsonomy ICDE The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Rolf-Dieter Fiebrich A Supercomputer Workstation for VLSI CAD. Search on Bibsonomy IEEE Des. Test The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
19Richard E. Zippel, Paul Penfield Jr., Lance A. Glasser, Charles E. Leiserson, John L. Wyatt Jr., Jonathan Allen Recent Results in VLSI CAD at MIT. Search on Bibsonomy FJCC The full citation details ... 1986 DBLP  BibTeX  RDF
19Louis I. Steinberg, Tom M. Mitchell The Redesign System: A Knowledge-Based Approach to VLSI CAD. Search on Bibsonomy IEEE Des. Test The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
19Don S. Batory, Won Kim 0001 Modeling Concepts for VLSI CAD Objects (Abstract). Search on Bibsonomy SIGMOD Conference The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
19Won Kim 0001, Don S. Batory A Model and Storage Technique for Versions of VLSI CAD Objects. Search on Bibsonomy FODO The full citation details ... 1985 DBLP  BibTeX  RDF
19Hamideh Afsarmanesh, Dennis McLeod, David Knapp, Alice C. Parker An Extensible Object-Oriented Approach to Databases for VLSI/CAD. Search on Bibsonomy VLDB The full citation details ... 1985 DBLP  BibTeX  RDF
19Louis I. Steinberg, Tom M. Mitchell A knowledge based approach to VLSI CAD the redesign system. Search on Bibsonomy DAC The full citation details ... 1984 DBLP  BibTeX  RDF
19Hal W. Daseking, Robert I. Gardner, Paul B. Weil VISTA: A VLSI CAD System. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
19Daniel L. Weinreb High Performance Personal Computation for VLSI CAD. Search on Bibsonomy COMPCON The full citation details ... 1982 DBLP  BibTeX  RDF
18Ameer Abdelhadi, Ran Ginosar, Avinoam Kolodny, Eby G. Friedman Timing-driven variation-aware nonuniform clock mesh synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock mesh synthesis, non-tree clock networks, vlsi cad, power, process variations, physical design, clock skew, clock distribution
18Ruchir Puri Will 22nm be our catch 22!: design and cad challenges. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 22nm cmos, design productivity, vlsi cad challenges, vlsi design challenges, vlsi physical design, 3d ics, automated synthesis
18Anand Rajaram, David Z. Pan Variation tolerant buffered clock network synthesis with cross links. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
18Sean X. Shi, Peng Yu, David Z. Pan A unified non-rectangular device and circuit simulation model for timing and power. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical design, VLSI CAD, device modeling
18Anand Rajaram, David Z. Pan, Jiang Hu Improved algorithms for link-based non-tree clock networks for skew variability reduction. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF non-tree clocks, physical design, VLSI CAD, clock network
18Jill H. Y. Law, Evangeline F. Y. Young Multi-bend bus driven floorplanning. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF bus planning, floorplanning, VLSI CAD
18Shin-ichi Minato Streaming BDD Manipulation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF algorithm, verification, testing, data structure, logic design, binary decision diagram, BDD, combinatorial problem, VLSI CAD
18Jason Cong, Chang Wu Global clustering-based performance-driven circuit partitioning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustering, partitioning, performance optimization, retiming, VLSI CAD
18Hongyu Chen, Changge Qiao, Feng Zhou, Chung-Kuan Cheng Refined single trunk tree: a rectilinear steiner tree generator for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF rectilinear steiner tree algorithm, refined single trunk tree, routing estimation, VLSI CAD
18Shin-ichi Minato Zero-suppressed BDDs and their applications. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Boolean function, BDD, Combinatorial problem, VLSI CAD, ZBDD
18Nak-Woong Eum, Taewhan Kim, Chong-Min Kyung An accurate evaluation of routing density for symmetrical FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF VLSI/CAD algorithm, symmetrical FPGA, FPGA routing
18Donald S. Gelosh, Dorothy E. Setliff Modeling layout tools to derive forward estimates of area and delay at the RTL level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF estimation techniques, machine learning, estimation, layout, VLSI CAD
18Dirk Stroobandt, Herwig Van Marck Efficient representation of interconnection length distributions using generating polynomials. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect length distributions, enumeration, VLSI CAD, generating polynomials
18Jim E. Crenshaw, Majid Sarrafzadeh Low Power Driven Scheduling and Binding. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high level synthesis, low power design, design automation, VLSI CAD
18Unni Narayanan, C. L. Liu 0001 Low power logic synthesis for XOR based circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF vlsi cad logic synthesis, XOR logic, Fixed Polarity Reed Muller Forms, Huffman Algorithm, low power design
Displaying result #1 - #100 of 149 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license